Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

There is no way to add attribution about the collections except through the description field #182

Closed
ghobona opened this issue Sep 29, 2020 · 12 comments

Comments

@ghobona
Copy link
Contributor

ghobona commented Sep 29, 2020

The need to add a copyright statement, for example "Contains OS data © Crown Copyright and database right 2020", uncovered the need to add attribution about the collections and on the dataset landing page.

@cmheazel
Copy link
Contributor

The JSON encodings allow you to add elements and still validate against the schema.
This information can also be included in the OpenAPI document.

@jerstlouis
Copy link
Member

@cmheazel I think the key point here though is to have a standardized element (e.g. attribution) that clients can rely on, and that server know to populate.

@cmheazel
Copy link
Contributor

@jerstlouis as always, if we can get agreement ---

@pomakis
Copy link

pomakis commented Sep 30, 2020

An "attribution" element at the {collectionId} endpoint (and possibly also at the data-set landing page endpoint) would be very useful - dare I say critical. Data providers often provide data with the stipulation that an attribution string be carried along with it, so for the OGI API to not provide a standardized way to do that would be a mistake. The good news is that it's an extremely simple element to define, I don't think it'll stir up any controversy.

@cmheazel
Copy link
Contributor

A suggestion for use in the Sprint - Let's establish a library of metadata elements which can be included in a JSON response. This can consist of a single "metadata" object with no required properties. The "library" would be the list of properties associated with that object.
Note: this is proposed as an experiment. We can mature the concept if it shows promise.

@pvretano
Copy link
Collaborator

@pomakis rights and license fields might be nice too ...
@cmheazel that is the approach taken in OGC API Records; the list of "core queryables" is basically a list (or library) of properties (or metadata elements) that should appear in a catalogue record; some are mandatory others are recommended (see here)

@jerstlouis
Copy link
Member

@pvretano I don't see an attribution in that list of core queryables, is there some other property covering that specifically, or is this something which should also be considered for Records?

@pvretano
Copy link
Collaborator

pvretano commented Sep 30, 2020

@jerstlouis I think it is covered between the publisher, rights, license fields ... no?

@jerstlouis
Copy link
Member

@pvretano Well the idea for attribution is that it is the recommended or required text that a client could automatically display e.g. on the bottom corner of a map automatically.

The publisher might not be formatted as required or contain all required information; the license would be the long legal text, and rights might not include the necessary information either.

So I would say that no, those don't cover attribution (and I think this is the single most importance piece needed as far as the collection info resource goes).

@pvretano
Copy link
Collaborator

@jerstlouis do you have a specific definition of "attribution"? The only reference I could find to attribution in DCAT, for example, was qualified attribution and its definition was: Link to an Agent having some form of responsibility for the resource.

@jerstlouis
Copy link
Member

@pvretano I don't have a specific definition, but the concept is precisely what @pomakis mentioned above:

Data providers often provide data with the stipulation that an attribution string be carried along with it, so for the OGI API to not provide a standardized way to do that would be a mistake.

@ghobona ghobona transferred this issue from opengeospatial/OGC-API-Sprint-September-2020 Dec 11, 2020
@cmheazel
Copy link
Contributor

Resolved in #174

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

5 participants