Skip to content

Latest commit

 

History

History
61 lines (32 loc) · 1.88 KB

programmer-tool.md

File metadata and controls

61 lines (32 loc) · 1.88 KB

How to use the Quartus Programmer Tool

Overview

This guide demonstrates how to program the FPGA by using the Quartus Programmer tool.

Make sure it's already installed for your system.

The instructions are for the Cyclone V SoC Development kit, but a similar flow can also be used for other boards.

Note: Before re-programming the FPGA fabric, make sure that the FPGA2HPS bridges (f2sdram, axi) are disabled, and that there is no software on HPS that may access the FPGA. This includes shutting down applications that access soft IP and also unloading any soft IP Linux kernel modules. Failure to do so will cause the system to behave in a non-deterministic way and most likely it will crash.

Prerequisites

Steps

  1. Start the Quartus Programmer Tool

  1. In Quartus Programmer, click the Hardware Setup button. This will open the Hardware Setup window.

  1. In the Hardware Setup window Select the USB Blaster device instance in the window that appears, by double-clicking it then click Close

  1. In Quartus Programmer, click the Autodetect button. This will open the Select Device window.

  1. Select the device and click OK to close the window.

  1. In Quartus Programmer select the line showing the FPGA device.

  1. Right-click the line with the FPGA device and select Change File from the menu.

  1. Browse to /path/to/your/project/output_files/<project>.sof and click Open

  1. Check the Program/Configure checkbox .

  1. Click the Start button. This will configure the FPGA.

  1. The top right corner will display the status of the operation.