Skip to content

Commit

Permalink
Browse files Browse the repository at this point in the history
  • Loading branch information
simond committed Nov 22, 2018
2 parents 44ff1e4 + 85aaa66 commit 332b5aa
Show file tree
Hide file tree
Showing 41 changed files with 48 additions and 40 deletions.
3 changes: 3 additions & 0 deletions ChangeLog
@@ -1,3 +1,6 @@
2018-11-21 Olof Kindgren <olof.kindgren@gmail.com>
* Added support for using external target directories with $TARGETDIR

2018-11-21 Neel Gala <neelgala@incoresemi.com>
* riscv-test-suite/rv_/references/_.reference_output: changed signature
format for all tests to include only 4-bytes per line starting with the
Expand Down
5 changes: 3 additions & 2 deletions Makefile
Expand Up @@ -29,6 +29,7 @@ endif
export ROOTDIR = $(shell pwd)
export WORK = $(ROOTDIR)/work
export SUITEDIR = $(ROOTDIR)/riscv-test-suite/$(RISCV_ISA)
export TARGETDIR ?= $(ROOTDIR)/riscv-target

default: $(DEFAULT_TARGET)

Expand All @@ -50,7 +51,7 @@ simulate:
RISCV_DEVICE=$(RISCV_DEVICE) \
RISCV_PREFIX=$(RISCV_PREFIX) \
run -C $(SUITEDIR)

verify:
riscv-test-env/verify.sh

Expand All @@ -67,4 +68,4 @@ help:
@echo "RISCV_DEVICE='rv32i|rv32im|...'"
@echo "RISCV_ISA=$(RISCV_ISA_OPT)"
@echo "make all_variant // all combinations"

4 changes: 4 additions & 0 deletions doc/ChangeLog
@@ -1,3 +1,7 @@
2018-11-21 Olof Kindgren <olof.kindgren@gmail.com>

* README.adoc (Repository structure) Added documentation for the $TARGETDIR environmental variable

2018-11-21 Neel Gala <neelgala@incoresemi.com>
* README.adoc: Added new signature format spec.

Expand Down
2 changes: 1 addition & 1 deletion doc/README.adoc
Expand Up @@ -442,7 +442,7 @@ The top level directory contains a `README.md` file giving an overview of the pr

`doc`:: All the documentation for the project, written using _AsciiDoc_.

`riscv-target`:: Contains a further subdirectory for each target, within which are placed the `compliance_io.h` header for that target and a `device` directory for all the devices of that target.
`riscv-target`:: Contains a further subdirectory for each target, within which are placed the `compliance_io.h` header for that target and a `device` directory for all the devices of that target. If the `$TARGETDIR` environment variable is set to another directory, the scripts will search this directory for targets instead.

`riscv-test-env`:: This contains headers common to all environments, and then a directory for each TVM variant, with `link.ld` linker script and `riscv_test.h` header.

Expand Down
Expand Up @@ -19,5 +19,5 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
$$< -o $(work_dir_isa)/$$@
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32i/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32im/Makefile.include
Expand Up @@ -34,7 +34,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32imc/Makefile.include
Expand Up @@ -34,7 +34,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump; \
Expand Down
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32mi/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32si/Makefile.include
Expand Up @@ -38,7 +38,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32ua/Makefile.include
Expand Up @@ -35,7 +35,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32uc/Makefile.include
Expand Up @@ -36,7 +36,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32ud/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32uf/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv32ui/Makefile.include
Expand Up @@ -38,7 +38,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv64i/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/riscvOVPsim/device/rv64im/Makefile.include
Expand Up @@ -33,7 +33,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32i/Makefile.include
Expand Up @@ -21,7 +21,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32im/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32imc/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32mi/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32si/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32ua/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32uc/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32ud/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32uf/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv32ui/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv64i/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-target/spike/device/rv64im/Makefile.include
Expand Up @@ -20,7 +20,7 @@ COMPILE_TARGET=\
$$(RISCV_GCC) $(2) $$(RISCV_GCC_OPTS) \
-I$(ROOTDIR)/riscv-test-env/ \
-I$(ROOTDIR)/riscv-test-env/p/ \
-I$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/ \
-I$(TARGETDIR)/$(RISCV_TARGET)/ \
-T$(ROOTDIR)/riscv-test-env/p/link.ld $$< \
-o $(work_dir_isa)/$$@; \
$$(RISCV_OBJDUMP) -D $(work_dir_isa)/$$@ > $(work_dir_isa)/$$@.objdump
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32i/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32im/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32imc/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32mi/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32si/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32ua/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32uc/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32ud/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32uf/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv32ui/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv64i/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down
2 changes: 1 addition & 1 deletion riscv-test-suite/rv64im/Makefile
Expand Up @@ -17,7 +17,7 @@ default: all

vpath %.S $(act_dir)

INCLUDE=$(ROOTDIR)/riscv-target/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
INCLUDE=$(TARGETDIR)/$(RISCV_TARGET)/device/$(RISCV_DEVICE)/Makefile.include
ifeq ($(wildcard $(INCLUDE)),)
$(error Cannot find '$(INCLUDE)`. Check that RISCV_TARGET and RISCV_DEVICE are set correctly.)
endif
Expand Down

0 comments on commit 332b5aa

Please sign in to comment.