Skip to content

Latest commit

 

History

History
29 lines (21 loc) · 951 Bytes

README.rst

File metadata and controls

29 lines (21 loc) · 951 Bytes

What is VUnit?

VUnit is an open source unit testing framework for VHDL/SystemVerilog released under the terms of Mozilla Public License, v. 2.0. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a "test early and often" approach through automation.

Read more on our Website

License

VUnit

VUnit except for OSVVM (see below) is released under the terms of Mozilla Public License, v. 2.0.

Copyright (c) 2014-2017, Lars Asplund lars.anders.asplund@gmail.com

OSVVM

OSVVM is redistributed as a submodule to VUnit for your convenience. OSVVM and derivative work located under examples/vhdl/osvvm_integration/src are licensed under the terms of Artistic License 2.0.

Copyright (c) 2006-2016, SynthWorks Design Inc http://www.synthworks.com