Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

No documentation in v0.0.2 #6

Closed
lukipedio opened this issue Jun 26, 2020 · 3 comments
Closed

No documentation in v0.0.2 #6

lukipedio opened this issue Jun 26, 2020 · 3 comments

Comments

@lukipedio
Copy link

I have installed v0.0.2 on a Linux Ubuntu 18.04.03 machine.

When I try to get the documentation of a VHDL module. I don't get it (no symbol, co comments).

Here is the developer tool log:

[Extension Host] Error: Command failed: python /home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/node_modules/jsteros/src/parser/parser.py "!" "/tmp/f-2020526-16125-1lt54i9.8xxak" at checkExecSyncError (child_process.js:634:11) at execSync (child_process.js:670:15) at electron/js2c/asar.js:696:23 at VhdlParser.getAll (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/node_modules/jsteros/src/parser/vunitVhdlParser.js:43:20) at Documenter._get_code_tree (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/node_modules/jsteros/src/documenter/documenter.js:265:34) at Documenter._get_html_from_code (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/node_modules/jsteros/src/documenter/documenter.js:150:32) at Documenter.get_html (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/node_modules/jsteros/src/documenter/documenter.js:70:31) at Object. (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/out/lib/documenter/documenter.js:64:53) at Generator.next () at fulfilled (/home/lcolombini/.vscode/extensions/teros-technology.teroshdl-0.0.2/out/lib/documenter/documenter.js:5:58)

Code : 1.46.0
Python2 : 2.7.17
Python3 : 3.6.9

@lukipedio
Copy link
Author

lukipedio commented Jun 26, 2020

Correction: this issue is only on a single file (top level). Other VHDL files are correctly translated by Documenter.
The problematic file is syntactically ok.

Here it is (library declarations and entity):

https://gist.github.com/lukipedio/b633b264547e3f56aac1603e9e83bf38

@qarlosalberto
Copy link
Contributor

Thank you! I will check it

@lukipedio
Copy link
Author

fixed in v0.0.3

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants