Skip to content

Commit

Permalink
faster into legacy, remove unused variable
Browse files Browse the repository at this point in the history
  • Loading branch information
tz1 committed May 8, 2012
1 parent 95ffe7e commit a2be18c
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions bluev_sketch/bluevirq.c
Expand Up @@ -245,7 +245,7 @@ ISR(TIMER4_CAPT_vect)
// Legacy Mode
#define LEGABIT (504)
#define USTICS(us) ((us) * (F_CPU/PRESCALE1)/1000000)
if( legacy > 255 ) {
if( legacy > 100 ) {
if( width < USTICS(LEGABIT)/5 ) { // normal bits for ESP
bitcnt = 0;
legacy--;
Expand Down Expand Up @@ -273,7 +273,7 @@ ISR(TIMER4_CAPT_vect)
;//UDR2 = UDR0 = '_';

if( bitcnt == 33 ) { // Simulate an infDisplay packet
unsigned char outb, ix, cks = 0;
unsigned char outb, cks = 0;
cks += v1buf[v1head++] = 0xaa; // SOF
cks += v1buf[v1head++] = 0xd8; // Dest - broadcast
cks += v1buf[v1head++] = 0xea; // Source - V1
Expand Down

0 comments on commit a2be18c

Please sign in to comment.