Skip to content

Blink an LED on an FPGA in VHDL using ghdl, yosys and nextpnr

Notifications You must be signed in to change notification settings

antonblanchard/ghdl-yosys-blink

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

18 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

ghdl-yosys-blink

Blink an LED on an FPGA using ghdl, yosys and nextpnr - a completely Open Source VHDL synthesis flow.

Supported Hardware

Right now only Lattice ECP5 boards are supported, but you should be able to use anything that yosys and nextpnr supports. I've personally tested the Lattice ECP5-EVN board and the OrangeCrab.

Prerequisites

You can install the latest versions of GHDL, ghdlsynth-beta, yosys, prjtrellis and nextpnr if you want, but thanks to the GHDL Docker project we have Docker images for everything!

It also works fine with podman if you prefer that to Docker.

Building

make
make prog

About

Blink an LED on an FPGA in VHDL using ghdl, yosys and nextpnr

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published