Skip to content

Issues: veryl-lang/veryl

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Check overlapping of multiple partial assignment tools Tools feature
#745 opened May 31, 2024 by dalance
[Feature] Import functions into modport lang Language design
#732 opened May 24, 2024 by taichi-ishitani
Clock domain annotation lang Language design
#713 opened May 10, 2024 by dalance
SystemVerilog keyword check tools Tools feature
#712 opened May 10, 2024 by dalance
Raw identifier lang Language design
#711 opened May 10, 2024 by dalance
[Feature] clock/reset type cast tools Tools feature
#709 opened May 9, 2024 by taichi-ishitani
var declaration with same name as enum member bug Something isn't working
#682 opened Apr 20, 2024 by nananapo
cocotb integration tools Tools feature
#659 opened Apr 12, 2024 by dalance
[Feature] In-line Struct Assignment lang Language design
#633 opened Apr 6, 2024 by anantajit
SDC support tools Tools feature
#624 opened Apr 1, 2024 by dalance
[Feature] Reset value type check tools Tools feature
#584 opened Mar 21, 2024 by taichi-ishitani
言語デザインドキュメントの改善 documentation Improvements or additions to documentation
#575 opened Mar 19, 2024 by dalance
Refine language design document documentation Improvements or additions to documentation
#574 opened Mar 19, 2024 by dalance
[Feature] Multi demension for loop lang Language design
#567 opened Mar 16, 2024 by taichi-ishitani
ProTip! Updated in the last three days: updated:>2024-05-28.