Skip to content
This repository has been archived by the owner on May 10, 2022. It is now read-only.
Jesús Arroyo Torrens edited this page Aug 24, 2016 · 70 revisions

Introduction

Binaries from the Icestorm tools (yosys, arachne and icetools). They have been compiled statically and packaged for Platformio and Apio

Building a new release

Follow this steps for building a new release of the Icestorm tools:

  • Clone the Toolchain-icestorm repo and enter into the directory:
$ git clone https://github.com/FPGAwars/toolchain-icestorm.git
$ cd toolchain-icestorm/
  • Add in the ARCH attribute the selected architectures. This is located in the build.sh script:
Platform Arch
Windows windows
Linux 64 bits linux_x86_64
Linux 32 bits linux_i686
BQ Aquaris 4.5 Ubuntu phone armv7l
Raspberry pi II armv7l
BQ Aquaris M10 Ubuntu Tablet aarch64
Mac OS X darwin
  • Execute the script.
$ ./build.sh clean

After some time, the packages toolchain-icestorm-ARCH-x.tar.gz will be generated in the _packages directory (where ARCH is the target platform and x the version)

Cleaning

Execute the same build script with the target clean for deleting all the packages and intermediate files generated.

$ ./build.sh clean

Installing the Icestorm package

You can install the latest icestorm package using Apio. Follow these steps:

  • Install apio:
$ pip install apio
  • Install the icestorm tools with:
$ apio install system scons icestorm
Installing system package:
Download tools-usb-ftdi-linux_x86_64-1.tar.bz2
Downloading  [####################################]  100%             
Unpacking  [####################################]  100%
Package 'system' has been successfully installed!
Install tool-scons
Download scons-2.4.1.tar.gz
Downloading  [####################################]  100%             
Unpacking  [####################################]  100%
Package 'scons' has been successfully installed!
Install toolchain-icestorm
Download toolchain-icestorm-x86_64-9.tar.gz
Downloading  [####################################]  100%             
Unpacking  [####################################]  100%
Package 'icestorm' has been successfully installed!

Testing in windows

These instructions are temporal. When the whole icestorm tools are cross-compiled and integrated into Apio, the installation and tests will be done in a different manner

But in the meanwhile, the instructions for testing the different packages are the following:

Testing iceprog

  • Download the toolchain-icestorm-windows-7.zip package for windows. It has been cross-compiled from Linux to windows. It is 32-bits version, so that it should work on both 32 and 64 windows versions

  • Uncompress the toolchain-icestorm-windows-7.zip file

  • Move the bin\iceprog.exe exectuable file to the Desktop

  • Move the examples\icestick*.bin bitstreams files to the Desktop

  • Plug the icestick board into the computer usb

  • Make sure you have installed the correct drivers. Follow these instructions

  • Open the cmd command terminal interface

  • Drag the iceprog into the terminal and insert an space

  • Drag the bitstream t1.bin to the terminal and press Enter. Iceprog should be execute with the t1.bin as an argument. The bitstream should be uploaded into the icestick board:

If all the icestick leds are turn on... Congrats! You have uploaded your first bitstream from Windows!

  • Download the other two examples: t2.bin and t3.bin

More tests

[2016-Feb-28]: Cristóbal Bueno tested it on Windows 8.1 64 bits. Thanks!

[2016-March-1]: Carlos Díaz tested it on Windows 10 - 64 bits. Thanks!

[2016-March-05]: Eladio Delgado tested it on Windows 7 - 64 bits on an Icezum Alhambra board. Thanks!

[2016-March-22]: Sebastián Gallardo tested it on Windows 7 - 32 bits. Thanks!

Testing in MAC

These instructions are temporal. When the whole icestorm tools are cross-compiled and integrated into Apio, the installation and tests will be done in a different manner

But in the meanwhile, the instructions for testing the different packages are the following:

Testing iceprog

  • Uncompress the package and drag the folder toolchain-icestorm to your desktop

  • Make sure you have brew installed
  • Open the command line interface and Execute the following command for installing the libftdi library:
$ brew install libftdi0
  • Unplug the icestick board and unload any ftdi driver:
$ sudo kextunload -b com.FTDI.driver.FTDIUSBSerialDriver
$ sudo kextunload -b com.apple.driver.AppleUSBFTDI
  • Plug the icestick board
  • Open the toolchain-icestorm folder from the desktop

  • Move into the bin folder and drag the iceprog file to the terminal

  • Move into the examples/icestick folder and drag the t1.bin file into the terminal

  • Press Enter in the terminal. The bitstream will be upload into the FPGA

  • Repeat with the t2.bin and t3.bin examples

Congrats! You've uploaded your first bitstream into the FPGA using opensource tools! :-)

Testing in ubuntu phone

The documentation has been moved to this page

Authors

  • Jesús Arroyo
  • Juan González (Obijuan)

Credits

  • Cristóbal Bueno: Bug reported and Testing on Windows 8 - 64 bits. Thanks!
  • Carlos Díaz: Testing on Windows 10. Thanks!
  • Sebastian Gallardo: Testing on Windows 32 bits. Thanks!

License

Licensed under a GPL v2 and Creative Commons Attribution-ShareAlike 4.0 International License

Links: