Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

relval matrix updates #13797

4 changes: 4 additions & 0 deletions Configuration/PyReleaseValidation/python/MatrixInjector.py
Original file line number Diff line number Diff line change
Expand Up @@ -192,6 +192,10 @@ def prepare(self,mReader, directories, mode='init'):
wmsplit['RECOUP15']=5
wmsplit['RECOAODUP15']=5
wmsplit['DBLMINIAODMCUP15NODQM']=5
wmsplit['DigiFull']=5
wmsplit['RecoFull']=5
wmsplit['DigiFullPU']=1
wmsplit['RecoFullPU']=1
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

these really effect only the very high pileup workflows?

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

first two lines are for noPU workflows 5 lumis/job, last two lines are for PU workflows 1 lumi/job. I just take the same configs as for 2015 workflows.



#import pprint
Expand Down
1 change: 1 addition & 0 deletions Configuration/PyReleaseValidation/python/MatrixUtil.py
Original file line number Diff line number Diff line change
Expand Up @@ -162,6 +162,7 @@ def queries(self):
#return ["file {0}={1} run={2} ".format(query_by, query_source, query_run) for query_run in self.run]
else:
return ["file {0}={1} site=T2_CH_CERN".format(query_by, query_source)]
#return ["file {0}={1} ".format(query_by, query_source)]

def __str__(self):
if self.ib_block:
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -249,7 +249,7 @@

workflows[1347] = ['', ['Upsilon1SToMuMu_13','DIGIUP15','RECOUP15','HARVESTUP15']]
workflows[1349] = ['', ['BsToMuMu_13','DIGIUP15','RECOUP15','HARVESTUP15']]
workflows[1350] = ['', ['JpsiMuMu_Pt-15','DIGIUP15','RECOUP15','HARVESTUP15']]
workflows[1350] = ['', ['JpsiMuMu_Pt-8','DIGIUP15','RECOUP15','HARVESTUP15']]

workflows[1325] = ['', ['TTbar_13','DIGIUP15','RECOUP15','HARVESTUP15','ALCATTUP15']]
workflows[1326] = ['', ['WE_13','DIGIUP15','RECOUP15','HARVESTUP15']]
Expand Down
16 changes: 9 additions & 7 deletions Configuration/PyReleaseValidation/python/relval_steps.py
Original file line number Diff line number Diff line change
Expand Up @@ -288,10 +288,10 @@ def identitySim(wf):
# THIS ABOVE IS NOT USED, AT THE MOMENT
'CMSSW_7_6_0_pre7-76X_mcRun2_asymptotic_v9_realBS-v1', # 3 - 13 TeV samples with GEN-SIM from 750_p4; also GEN-SIM-DIGI-RAW-HLTDEBUG for id tests
'CMSSW_7_3_0_pre1-PRE_LS172_V15_FastSim-v1', # 4 - fast sim GEN-SIM-DIGI-RAW-HLTDEBUG for id tests
'CMSSW_8_0_0-PU25ns_80X_mcRun2_asymptotic_v4-v1', # 5 - fullSim PU 25ns premix for 800pre6
'CMSSW_8_0_0-PU50ns_80X_mcRun2_startup_v4-v1', # 6 - fullSim PU 50ns premix for 800pre6
'CMSSW_8_0_0-80X_mcRun2_asymptotic_v4_FastSim-v1', # 7 - fastSim MinBias for mixing for 800pre6
'CMSSW_8_0_0-PU25ns_80X_mcRun2_asymptotic_v4_FastSim-v2', # 8 - fastSim premixed MinBias for 800pre6
'CMSSW_8_1_0_pre1-PU25ns_80X_mcRun2_asymptotic_v6-v1', # 5 - fullSim PU 25ns premix for
'CMSSW_8_1_0_pre1-PU50ns_80X_mcRun2_startup_v6-v1', # 6 - fullSim PU 50ns premix for
'CMSSW_8_1_0_pre1-80X_mcRun2_asymptotic_v6_FastSim-v1', # 7 - fastSim MinBias for mixing
'CMSSW_8_1_0_pre1-PU25ns_80X_mcRun2_asymptotic_v6_FastSim-v1', # 8 - fastSim premixed MinBias
'CMSSW_7_6_0_pre6-76X_mcRun2_HeavyIon_v4-v1', # 9 - Run2 HI GEN-SIM
'CMSSW_7_6_0-76X_mcRun2_asymptotic_v11-v1', # 10 - 13 TeV High Stats GEN-SIM
'CMSSW_7_6_0_pre7-76X_mcRun2_asymptotic_v9_realBS-v1', # 11 - 13 TeV High Stats MiniBias for mixing GEN-SIM
Expand Down Expand Up @@ -351,7 +351,7 @@ def identitySim(wf):
# activate GEN-SIM recycling once we'll have the first set of gen-sim
steps['Upsilon1SToMuMu_13INPUT']={'INPUT':InputInfo(dataSet='/RelValUpsilon1SToMuMu_13/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}
steps['BsToMuMu_13INPUT']={'INPUT':InputInfo(dataSet='/RelValBsToMuMu_13/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}
steps['JpsiMuMu_Pt-15INPUT']={'INPUT':InputInfo(dataSet='/RelValJpsiMuMu_Pt-15/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}
steps['JpsiMuMu_Pt-8INPUT']={'INPUT':InputInfo(dataSet='/RelValJpsiMuMu_Pt-8/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}

steps['PhiToMuMu_13INPUT']={'INPUT':InputInfo(dataSet='/RelValPhiToMuMu_13/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}
steps['EtaBToJpsiJpsi_13INPUT']={'INPUT':InputInfo(dataSet='/RelValEtaBToJpsiJpsi_13/%s/GEN-SIM'%(baseDataSetRelease[3],),location='STD')}
Expand Down Expand Up @@ -443,7 +443,7 @@ def genS(fragment,howMuch):
steps['Higgs200ChargedTaus_13']=gen2015('H200ChargedTaus_Tauola_13TeV_cfi',Kby(9,100))
steps['Upsilon1SToMuMu_13']=gen2015('Upsilon1SToMuMu_forSTEAM_13TeV_TuneCUETP8M1_cfi',Kby(17,190))
steps['BsToMuMu_13']=gen2015('BsToMuMu_forSTEAM_13TeV_TuneCUETP8M1_cfi',Kby(30000,150000))
steps['JpsiMuMu_Pt-15']=gen2015('JpsiMuMu_Pt-15_forSTEAM_13TeV_cfi',Kby(11000,122000))
steps['JpsiMuMu_Pt-8']=gen2015('JpsiMuMu_Pt-8_forSTEAM_13TeV_TuneCUETP8M1_cfi',Kby(3100,100000))
steps['WE_13']=gen2015('WE_13TeV_TuneCUETP8M1_cfi',Kby(9,100))
steps['WM_13']=gen2015('WM_13TeV_TuneCUETP8M1_cfi',Kby(9,200))
steps['WpM_13']=gen2015('WpM_13TeV_TuneCUETP8M1_cfi',Kby(9,200))
Expand Down Expand Up @@ -1465,7 +1465,7 @@ def identityFS(wf):
from Configuration.PyReleaseValidation.upgradeWorkflowComponents import *

defaultDataSets={}
defaultDataSets['2017']='CMSSW_8_0_0_patch1-80X_upgrade2017_design_v4_UPG17-v'
defaultDataSets['2017']='CMSSW_8_1_0_pre1-80X_upgrade2017_design_v4_UPG17-v'
keys=defaultDataSets.keys()
for key in keys:
defaultDataSets[key+'PU']=defaultDataSets[key]
Expand All @@ -1487,6 +1487,8 @@ def identityFS(wf):
key='MinBias_TuneZ2star_14TeV_pythia6'+'_'+ds
name=baseDataSetReleaseBetter[key]
PUDataSets[ds]={'-n':10,'--pileup':'AVE_35_BX_25ns','--pileup_input':'das:/RelValMinBias_13/%s/GEN-SIM'%(name,)}
#PUDataSets[ds]={'-n':10,'--pileup':'AVE_50_BX_25ns','--pileup_input':'das:/RelValMinBias_13/%s/GEN-SIM'%(name,)}
#PUDataSets[ds]={'-n':10,'--pileup':'AVE_70_BX_25ns','--pileup_input':'das:/RelValMinBias_13/%s/GEN-SIM'%(name,)}


upgradeStepDict={}
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -82,7 +82,7 @@
'QQH1352T_Tauola_14TeV_cfi',
'MinBias_TuneZ2star_14TeV_pythia6_cff',
'WM_14TeV_cfi',
'ZMM_14TeV_cfi',
'ZMM_13TeV_TuneCUETP8M1_cfi',
'QCDForPF_14TeV_cfi',
'DYToLL_M_50_TuneZ2star_14TeV_pythia6_tauola_cff',
'DYtoTauTau_M_50_TuneD6T_14TeV_pythia6_tauola_cff',
Expand Down Expand Up @@ -155,7 +155,7 @@
'QQH1352T_Tauola_14TeV_cfi':Kby(9,100),
'MinBias_TuneZ2star_14TeV_pythia6_cff':Kby(90,100),
'WM_14TeV_cfi':Kby(9,100),
'ZMM_14TeV_cfi':Kby(18,100),
'ZMM_13TeV_TuneCUETP8M1_cfi':Kby(18,100),
'QCDForPF_14TeV_cfi':Kby(9,50),
'DYToLL_M_50_TuneZ2star_14TeV_pythia6_tauola_cff':Kby(9,100),
'DYtoTauTau_M_50_TuneD6T_14TeV_pythia6_tauola_cff':Kby(9,100),
Expand Down Expand Up @@ -203,7 +203,7 @@
'QQH1352T_Tauola_14TeV_cfi' : 'QQH1352T_Tauola_14TeV',
'MinBias_TuneZ2star_14TeV_pythia6_cff' : 'MinBias_TuneZ2star_14TeV',
'WM_14TeV_cfi' : 'WM_14TeV',
'ZMM_14TeV_cfi' : 'ZMM_14TeV',
'ZMM_13TeV_TuneCUETP8M1_cfi' : 'ZMM_13',
'QCDForPF_14TeV_cfi' : 'QCDForPF_14TeV',
'DYToLL_M_50_TuneZ2star_14TeV_pythia6_tauola_cff' : 'DYToLL_M_50_TuneZ2star_14TeV',
'DYtoTauTau_M_50_TuneD6T_14TeV_pythia6_tauola_cff' : 'DYtoTauTau_M_50_TuneD6T_14TeV',
Expand Down