Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

HLT update with new menu AND L1 update - 72X #5530

Merged
merged 18 commits into from Sep 28, 2014
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
62 changes: 47 additions & 15 deletions Configuration/AlCa/python/autoCond.py
Expand Up @@ -37,31 +37,46 @@
}

# dedicated GlobalTags for MC production with the fixed HLT menus
autoCond['startup_2014'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_2014'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_Fake'] = ( autoCond['run1_mc'] )

autoCond['startup_GRun'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_FULL'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_GRun'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_HIon'] = ( autoCond['run1_mc_hi'] )
autoCond['run1_mc_PIon'] = ( autoCond['run1_mc_pa'] )

autoCond['starthi_HIon'] = ( autoCond['run1_mc_hi'] )

autoCond['startup_PIon'] = ( autoCond['run1_mc_pa'] )
autoCond['run2_mc_FULL'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_GRun'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_HIon'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_PIon'] = ( autoCond['run2_mc'] )

# dedicated GlobalTags for running the fixed HLT menus on data
autoCond['hltonline_2014'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_GRun'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_2014'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_Fake'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_HIon'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_FULL'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_GRun'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_HIon'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_PIon'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_PIon'] = ( autoCond['run1_hlt'] )
autoCond['run2_hlt_FULL'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_GRun'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_HIon'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_PIon'] = ( autoCond['run2_hlt'] )

# dedicated GlobalTags for running RECO and the fixed HLT menus on data
autoCond['com10_2014'] = ( autoCond['run1_data'] )
autoCond['run1_data_2014'] = ( autoCond['run1_data'] )
autoCond['run1_data_Fake'] = ( autoCond['run1_data'] )

autoCond['com10_GRun'] = ( autoCond['run1_data'] )
autoCond['run1_data_FULL'] = ( autoCond['run1_data'] )
autoCond['run1_data_GRun'] = ( autoCond['run1_data'] )
autoCond['run1_data_HIon'] = ( autoCond['run1_data'] )
autoCond['run1_data_PIon'] = ( autoCond['run1_data'] )

autoCond['com10_HIon'] = ( autoCond['run1_data'] )

autoCond['com10_PIon'] = ( autoCond['run1_data'] )
autoCond['run2_data_FULL'] = ( autoCond['run2_data'] )
autoCond['run2_data_GRun'] = ( autoCond['run2_data'] )
autoCond['run2_data_HIon'] = ( autoCond['run2_data'] )
autoCond['run2_data_PIon'] = ( autoCond['run2_data'] )


### OLD KEYS ### kept for backward compatibility
Expand All @@ -84,3 +99,20 @@
autoCond['upgrade2017'] = ( autoCond['phase1_2017_design'] )
autoCond['upgrade2019'] = ( autoCond['phase1_2019_design'] )
autoCond['upgradePLS3'] = ( autoCond['phase2_design'] )

### OLD KEYS ### for HLT

autoCond['startup_2014'] = ( autoCond['run1_mc_2014'] )
autoCond['startup_GRun'] = ( autoCond['run1_mc_GRun'] )
autoCond['starthi_HIon'] = ( autoCond['run1_mc_HIon'] )
autoCond['startup_PIon'] = ( autoCond['run1_mc_PIon'] )

autoCond['hltonline_2014'] = ( autoCond['run1_hlt_2014'] )
autoCond['hltonline_GRun'] = ( autoCond['run1_hlt_GRun'] )
autoCond['hltonline_HIon'] = ( autoCond['run1_hlt_HIon'] )
autoCond['hltonline_PIon'] = ( autoCond['run1_hlt_PIon'] )

autoCond['com10_2014'] = ( autoCond['run1_data_2014'] )
autoCond['com10_GRun'] = ( autoCond['run1_data_GRun'] )
autoCond['com10_HIon'] = ( autoCond['run1_data_HIon'] )
autoCond['com10_PIon'] = ( autoCond['run1_data_PIon'] )
64 changes: 48 additions & 16 deletions Configuration/AlCa/python/autoCond_condDBv2.py
Expand Up @@ -71,33 +71,48 @@

autoCond['run2_mc_50ns'] = ( autoCond['run2_mc_50ns'], ) \
+ conditions_L1_Run2012D

# dedicated GlobalTags for MC production with the fixed HLT menus
autoCond['startup_2014'] = ( autoCond['run1_mc'] )

autoCond['startup_GRun'] = ( autoCond['run1_mc'] )
# dedicated GlobalTags for MC production with the fixed HLT menus
autoCond['run1_mc_2014'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_Fake'] = ( autoCond['run1_mc'] )

autoCond['starthi_HIon'] = ( autoCond['run1_mc_hi'] )
autoCond['run1_mc_FULL'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_GRun'] = ( autoCond['run1_mc'] )
autoCond['run1_mc_HIon'] = ( autoCond['run1_mc_hi'] )
autoCond['run1_mc_PIon'] = ( autoCond['run1_mc_pa'] )

autoCond['startup_PIon'] = ( autoCond['run1_mc_pa'] )
autoCond['run2_mc_FULL'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_GRun'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_HIon'] = ( autoCond['run2_mc'] )
autoCond['run2_mc_PIon'] = ( autoCond['run2_mc'] )

# dedicated GlobalTags for running the fixed HLT menus on data
autoCond['hltonline_2014'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_2014'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_Fake'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_GRun'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_FULL'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_GRun'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_HIon'] = ( autoCond['run1_hlt'] )
autoCond['run1_hlt_PIon'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_HIon'] = ( autoCond['run1_hlt'] )

autoCond['hltonline_PIon'] = ( autoCond['run1_hlt'] )
autoCond['run2_hlt_FULL'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_GRun'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_HIon'] = ( autoCond['run2_hlt'] )
autoCond['run2_hlt_PIon'] = ( autoCond['run2_hlt'] )

# dedicated GlobalTags for running RECO and the fixed HLT menus on data
autoCond['com10_2014'] = ( autoCond['run1_data'] )

autoCond['com10_GRun'] = ( autoCond['run1_data'] )
autoCond['run1_data_2014'] = ( autoCond['run1_data'] )
autoCond['run1_data_Fake'] = ( autoCond['run1_data'] )

autoCond['com10_HIon'] = ( autoCond['run1_data'] )
autoCond['run1_data_FULL'] = ( autoCond['run1_data'] )
autoCond['run1_data_GRun'] = ( autoCond['run1_data'] )
autoCond['run1_data_HIon'] = ( autoCond['run1_data'] )
autoCond['run1_data_PIon'] = ( autoCond['run1_data'] )

autoCond['com10_PIon'] = ( autoCond['run1_data'] )
autoCond['run2_data_FULL'] = ( autoCond['run2_data'] )
autoCond['run2_data_GRun'] = ( autoCond['run2_data'] )
autoCond['run2_data_HIon'] = ( autoCond['run2_data'] )
autoCond['run2_data_PIon'] = ( autoCond['run2_data'] )


### OLD KEYS ### kept for backward compatibility
Expand All @@ -120,3 +135,20 @@
autoCond['upgrade2017'] = ( autoCond['phase1_2017_design'] )
autoCond['upgrade2019'] = ( autoCond['phase1_2019_design'] )
autoCond['upgradePLS3'] = ( autoCond['phase2_design'] )

### OLD KEYS ### for HLT

autoCond['startup_2014'] = ( autoCond['run1_mc_2014'] )
autoCond['startup_GRun'] = ( autoCond['run1_mc_GRun'] )
autoCond['starthi_HIon'] = ( autoCond['run1_mc_HIon'] )
autoCond['startup_PIon'] = ( autoCond['run1_mc_PIon'] )

autoCond['hltonline_2014'] = ( autoCond['run1_hlt_2014'] )
autoCond['hltonline_GRun'] = ( autoCond['run1_hlt_GRun'] )
autoCond['hltonline_HIon'] = ( autoCond['run1_hlt_HIon'] )
autoCond['hltonline_PIon'] = ( autoCond['run1_hlt_PIon'] )

autoCond['com10_2014'] = ( autoCond['run1_data_2014'] )
autoCond['com10_GRun'] = ( autoCond['run1_data_GRun'] )
autoCond['com10_HIon'] = ( autoCond['run1_data_HIon'] )
autoCond['com10_PIon'] = ( autoCond['run1_data_PIon'] )
3 changes: 2 additions & 1 deletion Configuration/HLT/python/autoHLT.py
Expand Up @@ -2,7 +2,8 @@
# cmsDiver.py hlt -s HLT:@relval

autoHLT = {
'frozen' : '2014',
'fake' : 'Fake',
'relval' : 'GRun',
'test' : 'GRun',
'frozen' : '2014',
}
48 changes: 24 additions & 24 deletions Configuration/PyReleaseValidation/python/relval_steps.py
Expand Up @@ -299,9 +299,9 @@ def gen2015(fragment,howMuch):
steps['LM1_sfts']=gen('LM1_sfts_8TeV_cfi',Kby(9,100))
steps['QCD_FlatPt_15_3000']=gen('QCDForPF_8TeV_cfi',Kby(5,100))
steps['QCD_FlatPt_15_3000HS']=gen('QCDForPF_8TeV_cfi',Kby(50,100))
steps['TTbar_13']=gen2015('TTbar_Tauola_13TeV_cfi',Kby(9,50))
steps['TTbar_13']=gen2015('TTbar_Tauola_13TeV_cfi',Kby(9,100))
steps['TTbarLepton_13']=gen2015('TTbarLepton_Tauola_13TeV_cfi',Kby(9,100))
steps['ZEE_13']=gen2015('ZEE_13TeV_cfi',Kby(9,50))
steps['ZEE_13']=gen2015('ZEE_13TeV_cfi',Kby(9,100))
steps['Wjet_Pt_80_120_13']=gen2015('Wjet_Pt_80_120_13TeV_cfi',Kby(9,100))
steps['Wjet_Pt_3000_3500_13']=gen2015('Wjet_Pt_3000_3500_13TeV_cfi',Kby(9,50))
steps['LM1_sfts_13']=gen2015('LM1_sfts_13TeV_cfi',Kby(9,100))
Expand All @@ -323,7 +323,7 @@ def identitySim(wf):

baseDataSetRelease=[
'CMSSW_7_1_0_pre7-PRE_STA71_V3-v1', # run1 samples; keep GEN-SIM fixed to 710_pre7, for samples not routinely produced
'CMSSW_7_2_0_pre6-PRE_SHI72_V7-v1', # Run1 HI GEN-SIM (only MB = wf 140)
'CMSSW_7_1_0-STARTHI71_V13-v1', # Run1 HI GEN-SIM (only MB = wf 140)
'CMSSW_6_2_0_pre8-PRE_ST62_V8_FastSim-v1', # for fastsim id test
# 'CMSSW_6_2_0_pre8-PRE_SH62_V15-v2', # Run1 HI GEN-SIM (only HydjetQ_B3_2760) -- unused in 72_pre4
# 'CMSSW_6_1_0_pre6-STARTHI61_V6-v1', # Run1 HI GEN-SIM (only HydjetQ_B0_2760) -- unused in 72_pre4
Expand All @@ -333,7 +333,7 @@ def identitySim(wf):
#'CMSSW_7_1_0_pre5-START71_V1-v1', # 8 TeV , for the one sample which is part of the routine relval production (MinBias) -- unused in 72_pre4
'CMSSW_7_1_0_pre5-START71_V1-v2', # 8 TeV , for the one sample which is part of the routine relval production (RelValZmumuJets_Pt_20_300, because of -v2)
# this an previous should be unified, when -v2 will be gone
'CMSSW_7_2_0_pre6-PRE_LS172_V11-v1', # 13 TeV samples with GEN-SIM from 720_p4;
'CMSSW_7_2_0_pre4-POSTLS172_V3-v2', # 13 TeV samples with GEN-SIM from 720_p4;
]

# note: INPUT commands to be added once GEN-SIM w/ 13TeV+PostLS1Geo will be available
Expand Down Expand Up @@ -459,19 +459,19 @@ def genS(fragment,howMuch):
steps['WE_13']=gen2015('WE_13TeV_cfi',Kby(9,100))
steps['WM_13']=gen2015('WM_13TeV_cfi',Kby(9,200))
steps['WpM_13']=gen2015('WpM_13TeV_cfi',Kby(9,200))
steps['ZMM_13']=gen2015('ZMM_13TeV_cfi',Kby(18,150))
steps['ZMM_13']=gen2015('ZMM_13TeV_cfi',Kby(18,300))
steps['ZpMM_13']=gen2015('ZpMM_13TeV_cfi',Kby(9,200))

steps['ZTT']=genS('ZTT_Tauola_All_hadronic_8TeV_cfi',Kby(9,150))
steps['H130GGgluonfusion']=genS('H130GGgluonfusion_8TeV_cfi',Kby(9,100))
steps['PhotonJets_Pt_10']=genS('PhotonJet_Pt_10_8TeV_cfi',Kby(9,150))
steps['QQH1352T_Tauola']=genS('QQH1352T_Tauola_8TeV_cfi',Kby(9,100))
steps['ZTT_13']=gen2015('ZTT_Tauola_All_hadronic_13TeV_cfi',Kby(9,60))
steps['H130GGgluonfusion_13']=gen2015('H130GGgluonfusion_13TeV_cfi',Kby(9,50))
steps['ZTT_13']=gen2015('ZTT_Tauola_All_hadronic_13TeV_cfi',Kby(9,150))
steps['H130GGgluonfusion_13']=gen2015('H130GGgluonfusion_13TeV_cfi',Kby(9,100))
steps['PhotonJets_Pt_10_13']=gen2015('PhotonJet_Pt_10_13TeV_cfi',Kby(9,150))
steps['QQH1352T_Tauola_13']=gen2015('QQH1352T_Tauola_13TeV_cfi',Kby(9,50))
steps['QQH1352T_Tauola_13']=gen2015('QQH1352T_Tauola_13TeV_cfi',Kby(9,100))
steps['ZmumuJets_Pt_20_300']=gen('ZmumuJets_Pt_20_300_GEN_8TeV_cfg',Kby(25,100))
steps['ZmumuJets_Pt_20_300_13']=gen2015('ZmumuJets_Pt_20_300_GEN_13TeV_cfg',Kby(25,50))
steps['ZmumuJets_Pt_20_300_13']=gen2015('ZmumuJets_Pt_20_300_GEN_13TeV_cfg',Kby(25,100))
steps['ADDMonoJet_d3MD3']=genS('ADDMonoJet_8TeV_d3MD3_cfi',Kby(9,100))
steps['ADDMonoJet_d3MD3_13']=gen2015('ADDMonoJet_13TeV_d3MD3_cfi',Kby(9,100))
steps['RSKKGluon_m3000GeV_13']=gen2015('RSKKGluon_m3000GeV_13TeV_cff',Kby(9,100)) # re-named to remove RelvalRelval in the dataset name
Expand Down Expand Up @@ -775,7 +775,7 @@ def gen2017(fragment,howMuch):
U2000by1={'--relval': '2000,1'}
U80by1={'--relval': '80,1'}

hiDefaults={'--conditions':'auto:starthi_HIon',
hiDefaults={'--conditions':'auto:run1_mc_HIon',
'--scenario':'HeavyIons'}

steps['HydjetQ_MinBias_2760GeV']=merge([{'-n':1},hiDefaults,genS('Hydjet_Quenched_MinBias_2760GeV_cfi',U2000by1)])
Expand Down Expand Up @@ -813,7 +813,7 @@ def addForAll(steps,d):

#### fastsim section ####
##no forseen to do things in two steps GEN-SIM then FASTIM->end: maybe later
step1FastDefaults =merge([{'-s':'GEN,SIM,RECO,EI,HLT:@relval,VALIDATION',
step1FastDefaults =merge([{'-s':'GEN,SIM,RECO,EI,HLT:@fake,VALIDATION',
'--fast':'',
'--eventcontent':'FEVTDEBUGHLT,DQM',
'--datatier':'GEN-SIM-DIGI-RECO,DQMIO',
Expand Down Expand Up @@ -877,7 +877,7 @@ def addForAll(steps,d):
step1Defaults])

steps['TTbarSFSA']=merge([{'cfg':'TTbar_Tauola_8TeV_cfi',
'-s':'GEN,SIM,RECO,EI,HLT,VALIDATION',
'-s':'GEN,SIM,RECO,EI,HLT:@fake,VALIDATION',
'--fast':''},
step1FastDefaults])

Expand Down Expand Up @@ -993,7 +993,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):


# step2
step2Defaults = { '-s' : 'DIGI:pdigi_valid,L1,DIGI2RAW,HLT:@relval,RAW2DIGI,L1Reco',
step2Defaults = { '-s' : 'DIGI:pdigi_valid,L1,DIGI2RAW,HLT:@fake,RAW2DIGI,L1Reco',
'--datatier' : 'GEN-SIM-DIGI-RAW-HLTDEBUG',
'--eventcontent': 'FEVTDEBUGHLT',
'--conditions' : 'auto:run1_mc',
Expand All @@ -1014,23 +1014,23 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
steps['DIGIUP15_PU25']=merge([PU25,step2Upg2015Defaults])
steps['DIGIUP15_PU50']=merge([PU50,step2Upg2015Defaults50ns])

steps['DIGIPROD1']=merge([{'-s':'DIGI,L1,DIGI2RAW,HLT:@relval,RAW2DIGI,L1Reco','--eventcontent':'RAWSIM','--datatier':'GEN-SIM-RAW'},step2Defaults])
steps['DIGIPROD1']=merge([{'-s':'DIGI,L1,DIGI2RAW,HLT:@fake,RAW2DIGI,L1Reco','--eventcontent':'RAWSIM','--datatier':'GEN-SIM-RAW'},step2Defaults])
steps['DIGI']=merge([step2Defaults])
#steps['DIGI2']=merge([stCond,step2Defaults])
steps['DIGICOS']=merge([{'--scenario':'cosmics','--eventcontent':'FEVTDEBUG','--datatier':'GEN-SIM-DIGI-RAW'},stCond,step2Defaults])
steps['DIGIHAL']=merge([{'--scenario':'cosmics','--eventcontent':'FEVTDEBUG','--datatier':'GEN-SIM-DIGI-RAW'},step2Upg2015Defaults])

steps['DIGIPU1']=merge([PU,step2Defaults])
steps['DIGIPU2']=merge([PU2,step2Defaults])
steps['REDIGIPU']=merge([{'-s':'reGEN,reDIGI,L1,DIGI2RAW,HLT:@relval,RAW2DIGI,L1Reco'},steps['DIGIPU1']])
steps['REDIGIPU']=merge([{'-s':'reGEN,reDIGI,L1,DIGI2RAW,HLT:@fake,RAW2DIGI,L1Reco'},steps['DIGIPU1']])

steps['DIGI_ID']=merge([{'--restoreRND':'HLT','--process':'HLT2'},steps['DIGI']])

steps['RESIM']=merge([{'-s':'reGEN,reSIM','-n':10},steps['DIGI']])
steps['RESIMDIGI']=merge([{'-s':'reGEN,reSIM,DIGI,L1,DIGI2RAW,HLT:@relval,RAW2DIGI,L1Reco','-n':10,'--restoreRNDSeeds':'','--process':'HLT'},steps['DIGI']])
steps['RESIMDIGI']=merge([{'-s':'reGEN,reSIM,DIGI,L1,DIGI2RAW,HLT:@fake,RAW2DIGI,L1Reco','-n':10,'--restoreRNDSeeds':'','--process':'HLT'},steps['DIGI']])


steps['DIGIHI']=merge([{'--conditions':'auto:starthi_HIon', '-s':'DIGI:pdigi_valid,L1,DIGI2RAW,HLT:HIon,RAW2DIGI,L1Reco', '--inputCommands':'"keep *","drop *_simEcalPreshowerDigis_*_*"', '-n':10}, hiDefaults, step2Defaults])
steps['DIGIHI']=merge([{'--conditions':'auto:run1_mc_HIon', '-s':'DIGI:pdigi_valid,L1,DIGI2RAW,HLT:@fake,RAW2DIGI,L1Reco', '--inputCommands':'"keep *","drop *_simEcalPreshowerDigis_*_*"', '-n':10}, hiDefaults, step2Defaults])

#wmsplit['DIGIHI']=5

Expand Down Expand Up @@ -1108,12 +1108,12 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
'--scenario':'pp',
}

hltKey='relval'
hltKey='fake'
from Configuration.HLT.autoHLT import autoHLT
menu = autoHLT[hltKey]
steps['HLTD']=merge([{'--process':'reHLT',
'-s':'L1REPACK,HLT:@%s'%hltKey,
'--conditions':'auto:hltonline_%s'%menu,
'--conditions':'auto:run1_hlt_%s'%menu,
'--data':'',
'--output':'\'[{"e":"RAW","t":"RAW","o":["drop FEDRawDataCollection_rawDataCollector__LHC"]}]\'',
},])
Expand Down Expand Up @@ -1206,7 +1206,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
steps['RECOUP15']=merge([step3Up2015Defaults]) # todo: remove UP from label
steps['RECOUP15PROD1']=merge([{ '-s' : 'RAW2DIGI,L1Reco,RECO,EI', '--datatier' : 'GEN-SIM-RECO,AODSIM', '--eventcontent' : 'RECOSIM,AODSIM'},step3Up2015Defaults])

steps['RECODreHLT']=merge([{'--hltProcess':'reHLT','--conditions':'auto:com10_%s'%menu},steps['RECOD']])
steps['RECODreHLT']=merge([{'--hltProcess':'reHLT','--conditions':'auto:run1_data_%s'%menu},steps['RECOD']])
#wmsplit['RECODreHLT']=2

steps['RECO']=merge([step3Defaults])
Expand Down Expand Up @@ -1273,7 +1273,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
'--filtername':'reRECO'},
steps['RECOHID11St3']])
steps['RECOFS']=merge([{'--fast':'',
'-s':'RECO,EI,HLT:@relval,VALIDATION'},
'-s':'RECO,EI,HLT:@fake,VALIDATION'},
steps['RECO']])
#for phase1
step3Upgpixphase1Defaults = {'-s':'RAW2DIGI,L1Reco,RECO,EI,VALIDATION,DQM',
Expand Down Expand Up @@ -1367,7 +1367,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
'--filetype':'DQM',
'--scenario':'pp'}

steps['HARVESTDreHLT'] = merge([ {'--conditions':'auto:com10_%s'%menu}, steps['HARVESTD'] ])
steps['HARVESTDreHLT'] = merge([ {'--conditions':'auto:run1_data_%s'%menu}, steps['HARVESTD'] ])

steps['HARVESTDDQM']=merge([{'-s':'HARVESTING:@common+@muon+@hcal+@jetmet+@ecal'},steps['HARVESTD']])

Expand Down Expand Up @@ -1413,7 +1413,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
'--filetype':'DQM',
'--scenario':'pp'}
steps['HARVESTHI']={'-s':'HARVESTING:validationHarvesting+dqmHarvesting',
'--conditions':'auto:starthi_HIon',
'--conditions':'auto:run1_mc_HIon',
'--mc':'',
'--filetype':'DQM',
'--scenario':'HeavyIons'}
Expand Down Expand Up @@ -1462,7 +1462,7 @@ def genvalid(fragment,d,suffix='all',fi='',dataSet=''):
'--filein':'file:step2.root',
'--secondfilein':'filelist:step1_dasquery.log'}

steps['SKIMDreHLT'] = merge([ {'--conditions':'auto:com10_%s'%menu,'--filein':'file:step3.root'}, steps['SKIMD'] ])
steps['SKIMDreHLT'] = merge([ {'--conditions':'auto:run1_data_%s'%menu,'--filein':'file:step3.root'}, steps['SKIMD'] ])

steps['SKIMCOSD']={'-s':'SKIM:all',
'--conditions':'auto:run1_data',
Expand Down
8 changes: 8 additions & 0 deletions HLTrigger/Configuration/python/CustomConfigs.py
Expand Up @@ -86,3 +86,11 @@ def HLTDropPrevious(process):
process=Base(process)

return(process)


def MassReplaceInputTag(process,old="rawDataCollector",new="rawDataRepacker"):
# replace InputTag values (adapted from Configuration/Applications/python/ConfigBuilder.py)
from PhysicsTools.PatAlgos.tools.helpers import massSearchReplaceAnyInputTag
for s in process.paths_().keys():
massSearchReplaceAnyInputTag(getattr(process,s),old,new)
return(process)
7 changes: 7 additions & 0 deletions HLTrigger/Configuration/python/HLT_2014_Famos_cff.py
Expand Up @@ -42536,3 +42536,10 @@

# none for now

# dummyfy hltGetConditions in cff's
if 'hltGetConditions' in locals() and 'HLTriggerFirstPath' in locals() :
hltDummyConditions = cms.EDFilter( "HLTBool",
result = cms.bool( True )
)
HLTriggerFirstPath.replace(hltGetConditions,hltDummyConditions)

7 changes: 7 additions & 0 deletions HLTrigger/Configuration/python/HLT_2014_cff.py
Expand Up @@ -51965,3 +51965,10 @@

# none for now

# dummyfy hltGetConditions in cff's
if 'hltGetConditions' in locals() and 'HLTriggerFirstPath' in locals() :
hltDummyConditions = cms.EDFilter( "HLTBool",
result = cms.bool( True )
)
HLTriggerFirstPath.replace(hltGetConditions,hltDummyConditions)