Skip to content

Releases: olofk/edalize

Edalize 0.5.4

11 Dec 12:46
Compare
Choose a tag to compare
  • New flows: efinity
  • New tools: efinity, sandpipersaas
  • cocotb support for simulation flow
  • New backends for legacy Tool API design_compiler, genus
  • libero: Support projects without constraints or source files
  • ise: Add --pgm switch
  • radiant: Support SystemVerilog
  • quartus: Add --pgm switch
  • SymbiFlow: Support SystemVerilog
  • verilator: Support additional gen-xml, gen-dpi-hdr, gen-preprocess modes
  • vivado: Add --pgm switch
  • vunit: Support file dependencies
  • Fix EDAM documentation for flows and tools

(Note: List includes all changes since Edalize 0.5.1 since versions 0.5.2 and 0.5.3 was found to have issues and were withdrawn)

Edalize 0.5.0

24 Mar 23:01
Compare
Choose a tag to compare
  • New flows: generic, gate-level simulation
  • New backends: filelist, sandpipersaas, openroad
  • modelsim: Support mfcu mode
  • icarus: Support multiple toplevels
  • yosys : Support verilog output and simulation tag
  • vivado: Support netlist generation an simulation tag
  • Overhauled documentation
  • Improve internal flow API
  • Support external plugins
  • Avoid unnecessary rebuilds with flow API

More detailed changes can be found at https://blog.award-winning.me/2023/03/edalize-050.html

Edalize 0.4.1

27 Dec 13:57
Compare
Choose a tag to compare
  • New flows: f4pga
  • New backends: slang, Questa Formal
  • Migrated to flow API: icarus
  • icarus: Add vvp_options, support multiple top levels
  • ise: Support FPGA families with spaces in name
  • openlane: Support SystemVerilog
  • vcs: Support launchers
  • verilator: Fix include files
  • vivado: Support launchers, fix pnr=none, fix include dirs on windows
  • yosys: Only use -pvector for edif
  • el_docker: Support additional docker args, update openlane version
  • Don't capture output of script hooks

Edalize 0.4.0

12 Jul 20:50
Compare
Choose a tag to compare
  • New backends: OpenFPGA, VPR, Mistral, gatemate
  • symbiflow: Support Quicklogic
  • xsim: :Fix VHDL generics quotation
  • ISE: Added option to Specify JTAG chain index, fix windows path handling
  • verilator: Support EDALIZE_LAUNCHER, limit CPU usage
  • icestorm: Add icepack_options
  • el_docker: Run as user instead of root
  • vcs: Support boolean plusargs without value
  • yosys: Support verilog output
  • Flow API: Add get_tool_options function