Skip to content

Issues: steveicarus/iverilog

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

ivl: logic_lpm.c:485: find_local_signal: Assertion `! sig' failed. Bug VLOG95 Bugs in vlog95 target code generator
#1120 opened Apr 29, 2024 by vicencb
ivl: logic_lpm.c:463: emit_nexus_port_signal: Assertion `! sig' failed. Bug VLOG95 Bugs in vlog95 target code generator
#1119 opened Apr 29, 2024 by vicencb
Bug: accessing parameters by upward hierarchial name Bug VLOG95 Bugs in vlog95 target code generator
#1108 opened Mar 9, 2024 by hpax
OSSFuzz Integration Enhancement
#1075 opened Jan 19, 2024 by capuanob
The Iverilog compiler lacks a warning message for mismatched port widths after compilation. Need info Needs more information, or changes are requested, before a merge is approved.
#1056 opened Dec 29, 2023 by jiangshuirou
Iverilog not initializing the register with initial value Need info Needs more information, or changes are requested, before a merge is approved.
#1047 opened Dec 15, 2023 by abdulhameed-rs
Implement DPI support Enhancement
#1040 opened Dec 1, 2023 by Mark-S2004
ProTip! Add no:assignee to see everything that’s not assigned.