Skip to content

Commit

Permalink
v0.7.0
Browse files Browse the repository at this point in the history
  • Loading branch information
Paebbels committed Feb 19, 2023
2 parents 3730890 + 1d6ae16 commit 4bde2c4
Show file tree
Hide file tree
Showing 194 changed files with 27,583 additions and 1,198 deletions.
3 changes: 1 addition & 2 deletions .btd.yml
Expand Up @@ -5,5 +5,4 @@ target: gh-pages
formats: [ html ]
images:
base: btdi/sphinx:pytooling
latex: btdi/latex
theme: https://codeload.GitHub.com/buildthedocs/sphinx.theme/tar.gz/v1
theme: https://codeload.github.com/buildthedocs/sphinx.theme/tar.gz/v1
74 changes: 35 additions & 39 deletions .github/workflows/Pipeline.yml
Expand Up @@ -3,64 +3,61 @@ name: Pipeline
on:
push:
workflow_dispatch:

defaults:
run:
shell: bash
schedule:
- cron: '0 0 * * 5'

jobs:

Params:
uses: pyTooling/Actions/.github/workflows/Parameters.yml@r0
uses: pyTooling/Actions/.github/workflows/Parameters.yml@dev
with:
name: pyVHDLParser
python_version_list: "3.8 3.9 3.10"
python_version_list: "3.8 3.9 3.10 3.11"

UnitTesting:
uses: pyTooling/Actions/.github/workflows/UnitTesting.yml@r0
uses: pyTooling/Actions/.github/workflows/UnitTesting.yml@dev
needs:
- Params
with:
jobs: ${{ needs.Params.outputs.python_jobs }}
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}
artifact: ${{ fromJson(needs.Params.outputs.artifact_names).unittesting_xml }}

Coverage:
uses: pyTooling/Actions/.github/workflows/CoverageCollection.yml@r0
uses: pyTooling/Actions/.github/workflows/CoverageCollection.yml@dev
needs:
- Params
with:
python_version: ${{ fromJson(needs.Params.outputs.params).python_version }}
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.coverage }}
python_version: ${{ needs.Params.outputs.python_version }}
artifact: ${{ fromJson(needs.Params.outputs.artifact_names).codecoverage_html }}
secrets:
codacy_token: ${{ secrets.CODACY_PROJECT_TOKEN }}

StaticTypeCheck:
uses: pyTooling/Actions/.github/workflows/StaticTypeCheck.yml@r0
uses: pyTooling/Actions/.github/workflows/StaticTypeCheck.yml@dev
needs:
- Params
with:
python_version: ${{ fromJson(needs.Params.outputs.params).python_version }}
commands: |
mypy --html-report htmlmypy -p pyVHDLParser
report: 'htmlmypy'
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.typing }}
python_version: ${{ needs.Params.outputs.python_version }}
requirements: '-r tests/requirements.txt'
commands: mypy --html-report htmlmypy -p pyVHDLParser
html_artifact: ${{ fromJson(needs.Params.outputs.artifact_names).statictyping_html }}

PublishTestResults:
uses: pyTooling/Actions/.github/workflows/PublishTestResults.yml@r0
uses: pyTooling/Actions/.github/workflows/PublishTestResults.yml@dev
needs:
- UnitTesting

Package:
uses: pyTooling/Actions/.github/workflows/Package.yml@r0
uses: pyTooling/Actions/.github/workflows/Package.yml@dev
needs:
- Params
- Coverage
with:
python_version: ${{ fromJson(needs.Params.outputs.params).python_version }}
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.package }}
python_version: ${{ needs.Params.outputs.python_version }}
artifact: ${{ fromJson(needs.Params.outputs.artifact_names).package_all }}

Release:
uses: pyTooling/Actions/.github/workflows/Release.yml@r0
uses: pyTooling/Actions/.github/workflows/Release.yml@dev
if: startsWith(github.ref, 'refs/tags')
needs:
- UnitTesting
Expand All @@ -69,16 +66,16 @@ jobs:
- Package

PublishOnPyPI:
uses: pyTooling/Actions/.github/workflows/PublishOnPyPI.yml@r0
uses: pyTooling/Actions/.github/workflows/PublishOnPyPI.yml@dev
if: startsWith(github.ref, 'refs/tags')
needs:
- Params
- Release
- Package
with:
python_version: ${{ fromJson(needs.Params.outputs.params).python_version }}
python_version: ${{ needs.Params.outputs.python_version }}
requirements: -r dist/requirements.txt
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.package }}
artifact: ${{ fromJson(needs.Params.outputs.artifact_names).package_all }}
secrets:
PYPI_TOKEN: ${{ secrets.PYPI_TOKEN }}

Expand All @@ -90,40 +87,39 @@ jobs:
# python_version: ${{ fromJson(needs.Params.outputs.params).python_version }}

BuildTheDocs:
uses: pyTooling/Actions/.github/workflows/BuildTheDocs.yml@r0
uses: pyTooling/Actions/.github/workflows/BuildTheDocs.yml@dev
needs:
- Params
# - VerifyDocs
with:
artifact: ${{ fromJson(needs.Params.outputs.params).artifacts.doc }}
artifact: ${{ fromJson(needs.Params.outputs.artifact_names).documentation_html }}

PublishToGitHubPages:
uses: pyTooling/Actions/.github/workflows/PublishToGitHubPages.yml@r0
uses: pyTooling/Actions/.github/workflows/PublishToGitHubPages.yml@dev
needs:
- Params
- BuildTheDocs
- Coverage
- StaticTypeCheck
with:
doc: ${{ fromJson(needs.Params.outputs.params).artifacts.doc }}
coverage: ${{ fromJson(needs.Params.outputs.params).artifacts.coverage }}
typing: ${{ fromJson(needs.Params.outputs.params).artifacts.typing }}
doc: ${{ fromJson(needs.Params.outputs.artifact_names).documentation_html }}
coverage: ${{ fromJson(needs.Params.outputs.artifact_names).codecoverage_html }}
typing: ${{ fromJson(needs.Params.outputs.artifact_names).statictyping_html }}

ArtifactCleanUp:
uses: pyTooling/Actions/.github/workflows/ArtifactCleanUp.yml@r0
uses: pyTooling/Actions/.github/workflows/ArtifactCleanUp.yml@dev
needs:
- Params
- UnitTesting
- Coverage
- StaticTypeCheck
- BuildTheDocs
- PublishToGitHubPages
- PublishTestResults
with:
package: ${{ fromJson(needs.Params.outputs.params).artifacts.package }}
package: ${{ fromJson(needs.Params.outputs.artifact_names).package_all }}
remaining: |
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.8
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.10
${{ fromJson(needs.Params.outputs.params).artifacts.coverage }}
${{ fromJson(needs.Params.outputs.params).artifacts.typing }}
${{ fromJson(needs.Params.outputs.params).artifacts.doc }}
${{ fromJson(needs.Params.outputs.artifact_names).unittesting_xml }}-*
${{ fromJson(needs.Params.outputs.artifact_names).codecoverage_html }}
${{ fromJson(needs.Params.outputs.artifact_names).statictyping_html }}
${{ fromJson(needs.Params.outputs.artifact_names).documentation_html }}
14 changes: 7 additions & 7 deletions .gitignore
Expand Up @@ -15,13 +15,13 @@ coverage.xml
# Dependencies
!requirements.txt

# Sphinx
doc/_build/
doc/pyVHDLParser/**/*.*
!doc/pyVHDLParser/index.rst

# BuildTheDocs
doc/_theme/**/*.*
# Sphinx documentation
/doc/_build/
/doc/_theme/
/doc/pyVHDLParser/**/*.*
!/doc/pyVHDLParser/index.rst

# IntelliJ project files
/.idea/workspace.xml

pyVHDLParser/Sven
2 changes: 1 addition & 1 deletion .idea/pyVHDLParser.iml

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

1 change: 0 additions & 1 deletion README.md
Expand Up @@ -9,7 +9,6 @@
![PyPI - Python Version](https://img.shields.io/pypi/pyversions/pyVHDLParser?logo=PyPI)
[![Dependent repos (via libraries.io)](https://img.shields.io/librariesio/dependent-repos/pypi/pyVHDLParser)](https://github.com/Paebbels/pyVHDLParser/network/dependents)
[![Libraries.io status for latest release](https://img.shields.io/librariesio/release/pypi/pyVHDLParser)](https://libraries.io/github/Paebbels/pyVHDLParser)
[![Requires.io](https://img.shields.io/requires/github/Paebbels/pyVHDLParser)](https://requires.io/github/Paebbels/pyVHDLParser/requirements/?branch=master)
[![Codacy - Quality](https://img.shields.io/codacy/grade/1155f244b6f54a3a95abdaa80d6771f8?logo=Codacy)](https://www.codacy.com/manual/Paebbels/pyVHDLParser)
[![Codacy - Coverage](https://img.shields.io/codacy/coverage/1155f244b6f54a3a95abdaa80d6771f8?logo=Codacy)](https://www.codacy.com/manual/Paebbels/pyVHDLParser)
[![Codecov - Branch Coverage](https://img.shields.io/codecov/c/github/Paebbels/pyVHDLParser?logo=Codecov)](https://codecov.io/gh/Paebbels/pyVHDLParser)
Expand Down
2 changes: 1 addition & 1 deletion doc/VHDLParser-AutoProgram.py
Expand Up @@ -9,7 +9,7 @@
#
# License:
# ==============================================================================
# Copyright 2017-2021 Patrick Lehmann - Boetzingen, Germany
# Copyright 2017-2023 Patrick Lehmann - Boetzingen, Germany
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
Expand Down
4 changes: 2 additions & 2 deletions doc/index.rst
Expand Up @@ -7,15 +7,15 @@
.. only:: html

|SHIELD:svg:pyVHDLParser-github| |SHIELD:svg:pyVHDLParser-tag| |SHIELD:svg:pyVHDLParser-release| |SHIELD:svg:pyVHDLParser-date| |br|
|SHIELD:svg:pyVHDLParser-lib-status| |SHIELD:svg:pyVHDLParser-req-status| |SHIELD:svg:pyVHDLParser-lib-dep| |br|
|SHIELD:svg:pyVHDLParser-lib-status| |SHIELD:svg:pyVHDLParser-lib-dep| |br|
|SHIELD:svg:pyVHDLParser-travis| |SHIELD:svg:pyVHDLParser-pypi-tag| |SHIELD:svg:pyVHDLParser-pypi-status| |SHIELD:svg:pyVHDLParser-pypi-python| |br|
|SHIELD:svg:pyVHDLParser-codacy-quality| |SHIELD:svg:pyVHDLParser-codacy-coverage| |SHIELD:svg:pyVHDLParser-codecov-coverage| |SHIELD:svg:pyVHDLParser-lib-rank| |br|
|SHIELD:svg:pyVHDLParser-rtd| |SHIELD:svg:pyVHDLParser-license|

.. only:: latex

|SHIELD:png:pyVHDLParser-github| |SHIELD:png:pyVHDLParser-tag| |SHIELD:png:pyVHDLParser-release| |SHIELD:png:pyVHDLParser-date| |br|
|SHIELD:png:pyVHDLParser-lib-status| |SHIELD:png:pyVHDLParser-req-status| |SHIELD:png:pyVHDLParser-lib-dep| |br|
|SHIELD:png:pyVHDLParser-lib-status| |SHIELD:png:pyVHDLParser-lib-dep| |br|
|SHIELD:png:pyVHDLParser-travis| |SHIELD:png:pyVHDLParser-pypi-tag| |SHIELD:png:pyVHDLParser-pypi-status| |SHIELD:png:pyVHDLParser-pypi-python| |br|
|SHIELD:png:pyVHDLParser-codacy-quality| |SHIELD:png:pyVHDLParser-codacy-coverage| |SHIELD:png:pyVHDLParser-codecov-coverage| |SHIELD:png:pyVHDLParser-lib-rank| |br|
|SHIELD:png:pyVHDLParser-rtd| |SHIELD:png:pyVHDLParser-license|
Expand Down
7 changes: 7 additions & 0 deletions doc/prolog.inc
Expand Up @@ -27,3 +27,10 @@

.. role:: underline
:class: underline

.. role:: xlarge
:class: xlarge

.. role:: pycode(code)
:language: python
:class: highlight
6 changes: 3 additions & 3 deletions doc/requirements.txt
@@ -1,13 +1,13 @@
-r ../requirements.txt

# Enforce latest version on ReadTheDocs
sphinx>=4.3.0
pyTooling>=1.7.0
sphinx>=5.3,<6.0
pyTooling>=2.12.3

# Sphinx Extenstions
#sphinx.ext.coverage
#sphinxcontrib-actdiag>=0.8.5
sphinxcontrib-mermaid>=0.7.1
sphinxcontrib-mermaid>=0.8.0
#sphinxcontrib-seqdiag>=0.8.5
#sphinxcontrib-textstyle>=0.2.1
#sphinxcontrib-spelling>=2.2.0
Expand Down
9 changes: 0 additions & 9 deletions doc/shields.inc
Expand Up @@ -59,15 +59,6 @@
:height: 22
:target: https://libraries.io/github/Paebbels/pyVHDLParser

.. |SHIELD:svg:pyVHDLParser-req-status| image:: https://img.shields.io/requires/github/Paebbels/pyVHDLParser
:alt: Requires.io
:height: 22
:target: https://requires.io/github/Paebbels/pyVHDLParser/requirements/?branch=master
.. |SHIELD:png:pyVHDLParser-req-status| image:: https://raster.shields.io/requires/github/Paebbels/pyVHDLParser
:alt: Requires.io
:height: 22
:target: https://requires.io/github/Paebbels/pyVHDLParser/requirements/?branch=master

.. |SHIELD:svg:pyVHDLParser-travis| image:: https://img.shields.io/travis/com/Paebbels/pyVHDLParser?logo=Travis
:alt: Travis - Build on 'master'
:height: 22
Expand Down

0 comments on commit 4bde2c4

Please sign in to comment.