Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

antmicro/yosys-systemverilog#1743: Compensate for chipsalliance/Surelog#3670 #522

Open
wants to merge 1 commit into
base: main
Choose a base branch
from

Conversation

hs-apotell
Copy link

chipsalliance/synlig#1743: Compensate for chipsalliance/Surelog#3670

UHDM model hierarchy changed to enforce vpiParent as weak reference.

@mglb
Copy link
Collaborator

mglb commented May 30, 2023

I did run the PR + chipsalliance/Surelog#3670 in yosys-systemverilog CI, many tests fail: https://github.com/antmicro/yosys-systemverilog/actions/runs/5121636527
I'll look into the Surelog/UHDM change soon to see what should be done in the plugin.

@hs-apotell
Copy link
Author

I ran the CI pipeline in Surelog repository and the build passed. chipsalliance/Surelog#3681
Happy to assist in anyway possible.

The UHDM/Surelog change should have minimal impact outside the core implementation itself.

@hs-apotell
Copy link
Author

@mglb Any update on this PR? Anything I can do to bump up the priority on this?

@mglb
Copy link
Collaborator

mglb commented Jun 2, 2023

@hs-apotell
Turns out the plugin doesn't work with Surelog's master, which is responsible for at least some issues from the yosys-systemverilog CI run linked above.
It would be best to wait until we catch up with the master branch - this work is already in progress.

@hs-apotell
Copy link
Author

@hs-apotell Turns out the plugin doesn't work with Surelog's master, which is responsible for at least some issues from the yosys-systemverilog CI run linked above. It would be best to wait until we catch up with the master branch - this work is already in progress.

Appreciate the update. Let me know when you are ready to try out this change again and I can rebase the Surelog PR.

@mglb
Copy link
Collaborator

mglb commented Jun 7, 2023

@hs-apotell FYI: you can fork yosys-systemverilog, change submodule revisions/remotes to point to your changes, commit+push, and create a Draft PR. The CI will test it using code from revisions/forks configured on your branch.

Instructions how to change submodule can be found in the readme: https://github.com/antmicro/yosys-systemverilog#using-dedicated-branch

@hs-apotell
Copy link
Author

Are the issues reported against Surelog master resolved?

UHDM model hierarchy changed to enforce vpiParent as weak reference.
@mglb
Copy link
Collaborator

mglb commented Jun 9, 2023

Every related issue reported against Surelog/UHDM has been fixed AFAIK.
With #528 merged, only one test in yosys-systemverilog fails with Surelog master.

@hs-apotell
Copy link
Author

@mglb I forked the yosys-systemverilog repository and attempted a build it never gets executed. I have 3 builds waiting in the queue for over 10 hours.
https://github.com/Apotell/yosys-systemverilog/actions

Am I missing some required permissions for the self-hosted runners?

@pgielda
Copy link
Member

pgielda commented Jun 10, 2023

Custom runners are "private" to an org. In principle the only way for them to run for external users is to open a PR to that org (so in this case to https://github.com/antmicro/yosys-systemverilog). You cannot use them "privately" (unless you set up exactly the same "private" infrastructure)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants