Skip to content

Design and implement a Seven Segment Display available on the BASYS3 board (FPGA) in VHDL

Notifications You must be signed in to change notification settings

vinayak1998/7-segment-display-fpga

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 
 
 
 
 

Repository files navigation

7-segment-display-fpga

Design and implement a Seven Segment Display available on the BASYS3 board (FPGA) in VHDL

The aim of this exercise is to learn how to use 4-digit seven segment display available on the BASYS3 board. It involves designing and implementing a circuit to display 16-bit binary number set on the slide switches as a 4 digit hexadecimal number (number with base 16).

For more details, check Lab exercise 4 Updated.pdf ...

  • Course - COL215(Digital Logic and System Design)
  • Fall - 2017
  • Lab 4

About

Design and implement a Seven Segment Display available on the BASYS3 board (FPGA) in VHDL

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages