Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Could not resolve non-primitive black box cell 'system_Video_PR_0_0Video_Box' #1

Open
mslavescu opened this issue Dec 4, 2017 · 2 comments
Assignees

Comments

@mslavescu
Copy link

I ran make (no errors) and then tried to generate bitstream using Vivado 2016.3, and I get the following warnings/errors, in Messages tab:

Implementation
Design Initialization
[Project 1-486] Could not resolve non-primitive black box cell 'system_Video_PR_0_0Video_Box' instantiated as 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' ["PYNQ_PR_Overlay/Pynq-Z1/vivado/base/base/base.srcs/sources_1/bd/system/ipshared/4ea0/hdl/Video_PR_v1_0_S_AXI.vhd":209]

Opt Design
[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' of type 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0/system_Video_PR_0_0Video_Box' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.

[Vivado_Tcl 4-78] Error(s) found during DRC. Opt_design not run.

Any idea how to fix it?

@AEW2015
Copy link
Owner

AEW2015 commented Dec 4, 2017

What script were you running when this happened?

If you try to build the normal design with no script, there is nothing loaded in the partial design module.
And you will see this issue.

If you ran the base.tcl and the build_static_design.tcl (from the Partial_Designs directory after closing the project):
It sounds like a design was not loaded into the partial region.

Inside build_static_design.tcl:
...
//Opens static region, If you look through the netlist you will see a ? for system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0

open_checkpoint ./Static/top.dcp

//this loads a pass through design into the cell, but the names need to be the same. You shouldn't see a ? anymore in the netlist

read_checkpoint -cell system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0 Synth/pass_through.dcp
set_property HD.RECONFIGURABLE 1 [get_cells system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0]
write_checkpoint -force ./Checkpoint/pr_block_design.dcp
..
This should work now.

Also, this project got moved to an Offical Github for BYU.
https://github.com/byuccl/BYU_Senior_PYNQ_Project

@mslavescu
Copy link
Author

Thanks!

I'll continue reporting here:
byuccl/BYU_Senior_PYNQ_Project#1

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants