You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
I ran make (no errors) and then tried to generate bitstream using Vivado 2016.3, and I get the following warnings/errors, in Messages tab:
Implementation
Design Initialization
[Project 1-486] Could not resolve non-primitive black box cell 'system_Video_PR_0_0Video_Box' instantiated as 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' ["PYNQ_PR_Overlay/Pynq-Z1/vivado/base/base/base.srcs/sources_1/bd/system/ipshared/4ea0/hdl/Video_PR_v1_0_S_AXI.vhd":209]
Opt Design
[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' of type 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0/system_Video_PR_0_0Video_Box' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.
[Vivado_Tcl 4-78] Error(s) found during DRC. Opt_design not run.
Any idea how to fix it?
The text was updated successfully, but these errors were encountered:
If you try to build the normal design with no script, there is nothing loaded in the partial design module.
And you will see this issue.
If you ran the base.tcl and the build_static_design.tcl (from the Partial_Designs directory after closing the project):
It sounds like a design was not loaded into the partial region.
Inside build_static_design.tcl:
...
//Opens static region, If you look through the netlist you will see a ? for system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0
open_checkpoint ./Static/top.dcp
//this loads a pass through design into the cell, but the names need to be the same. You shouldn't see a ? anymore in the netlist
read_checkpoint -cell system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0 Synth/pass_through.dcp
set_property HD.RECONFIGURABLE 1 [get_cells system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0]
write_checkpoint -force ./Checkpoint/pr_block_design.dcp
..
This should work now.
I ran make (no errors) and then tried to generate bitstream using Vivado 2016.3, and I get the following warnings/errors, in Messages tab:
Implementation
Design Initialization
[Project 1-486] Could not resolve non-primitive black box cell 'system_Video_PR_0_0Video_Box' instantiated as 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' ["PYNQ_PR_Overlay/Pynq-Z1/vivado/base/base/base.srcs/sources_1/bd/system/ipshared/4ea0/hdl/Video_PR_v1_0_S_AXI.vhd":209]
Opt Design
[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0' of type 'system_i/video/Video_PR_0/U0/Video_PR_v1_0_S_AXI_inst/Video_Box_0/system_Video_PR_0_0Video_Box' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.
[Vivado_Tcl 4-78] Error(s) found during DRC. Opt_design not run.
Any idea how to fix it?
The text was updated successfully, but these errors were encountered: