Skip to content
This repository has been archived by the owner on Mar 3, 2023. It is now read-only.

Commit

Permalink
Fix some DOC rendering issue
Browse files Browse the repository at this point in the history
  • Loading branch information
xlz-jbleclere committed May 23, 2022
1 parent 95e9e52 commit c7e24dc
Showing 1 changed file with 6 additions and 2 deletions.
8 changes: 6 additions & 2 deletions doc/drm_hardware_ipi_guidelines.rst
Original file line number Diff line number Diff line change
Expand Up @@ -18,9 +18,12 @@ Packaging the DRM Controller

* Start Vivado
* "Create project"

* "RTL Project", "Do not specify sources at this time"
* Select your board

* From TCL console:

* Execute there commands to use the VHDL wrapper:

.. code-block:: tcl
Expand Down Expand Up @@ -50,8 +53,10 @@ Packaging the DRM Controller
update_compile_order -fileset sources_1
* Tools > Create and package New IP

* Package current project
* TCL Console:

* From TCL Console:

.. code-block:: tcl
:caption: In TCL
Expand All @@ -75,7 +80,6 @@ Packaging the DRM Controller
You must execute the following TCL commands instead:

.. code-block:: tcl
:caption: In TCL with Verilog sources
set path_to_hdl ./drm_gstarted/drm_hdk
read_verilog -sv [ glob $path_to_hdl/controller/rtl/core/*.sv ]
Expand Down

0 comments on commit c7e24dc

Please sign in to comment.