Skip to content

Issues: AsFigo/pyslint

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

EMULint: Memory Array Dimensions
#197 opened Mar 2, 2024 by plsath
'NoneType' object has no attribute 'kind'
#195 opened Feb 24, 2024 by dpln
CNST: soft inside foreach
#187 opened Feb 15, 2024 by svenka3
COMPAT: SVA local var init missing
#186 opened Feb 3, 2024 by svenka3
COMPAT check for checker usage
#183 opened Jan 12, 2024 by svenka3
Use $urandom over $random
#177 opened Dec 20, 2023 by svenka3
Run on complete TB example
#162 opened Dec 6, 2023 by svenka3
DPI COMPAT - check for duplicate method
#143 opened Nov 30, 2023 by svenka3
article review
#74 opened Oct 27, 2023 by prajapati93
randomize_method_check
#63 opened Oct 23, 2023 by prajapati93
Port list check issues
#60 opened Oct 5, 2023 by kmnithyame
ProTip! Type g i on any issue or pull request to go back to the issue listing page.