Skip to content

Coloquinte/locked-tapeout

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

35 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Locking a design using Moosic and TinyTapeout

My Image

Logic locking is a way to secure silicon chips against supply chain attacks. We wrote a Yosys plugin, Moosic, to apply logic locking solutions easily using a fully open source toolchain.

This is a showcase design to show how to apply logic locking on a simple example. It uses TinyTapeout to go all the way to a silicon chip! Have a look at the blog post on the YosysHQ blog for more information.

About

Logic locking of a design build on TinyTapeout

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published