Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Update to wally 1.0.0 #6882

Closed

Conversation

jgriffiths
Copy link
Contributor

@jgriffiths jgriffiths commented Nov 19, 2023

Update wally to v1.0.0.

Removed the witness reworking until I have time to figure out how the tal stuff works.

I don't think the CI failure is my fault, would be good to have confirmation of that.

@jgriffiths jgriffiths changed the title WIP: Update to wally 1 0 WIP: Update to wally 1.0.0 Nov 19, 2023
@jgriffiths jgriffiths force-pushed the update_to_wally_1_0 branch 3 times, most recently from 43e726f to de10c6b Compare November 20, 2023 10:17
@rustyrussell rustyrussell added this to the v24.02 milestone Dec 14, 2023
@jgriffiths jgriffiths changed the title WIP: Update to wally 1.0.0 Update to wally 1.0.0 Jan 9, 2024
Rename the offending functions from wally_foo to cln_wally_foo.

For the sake of a minimal diff, only calls which conflict with wally
v1.0.0 have been changed. However it is bad form to use the wally_
function namespace; the remaining such calls should also be renamed.

Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Also removes incorrect/redundant configure flags when building.

Changelog-Changed: Update libwally to 1.0.0

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
As of wally v1.0.0, Elements/Liquid support is enabled and part of the
library ABI by default.

Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Wally release builds are significantly faster than debug builds. Plus we
pass down our build flags to libsecp, which means release builds have
been disabling the asm optimisations for both libraries.

Changelog-Changed: Enable optimizations for libwally/libsecp256k1-zkp

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Input cloning has not been exposed yet; I'll add that to wally in a
future release.

Changelog-None

Signed-off-by: Jon Griffiths <jon_p_griffiths@yahoo.com>
Copy link
Contributor

@rustyrussell rustyrussell left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Ack 153a38c

Copy link
Collaborator

@vincenzopalazzo vincenzopalazzo left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

ACK 153a38c

@rustyrussell
Copy link
Contributor

Looks like this was merged? Closing...

@jgriffiths jgriffiths deleted the update_to_wally_1_0 branch January 23, 2024 10:45
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants