Skip to content

v0.8.0

Compare
Choose a tag to compare
@Obijuan Obijuan released this 27 Dec 17:51
· 549 commits to develop since this release
  • Date: 2021-12-27
  • NOTE: Transitional version. All the old apio packages are being replaced by the oss-cad-suite. Many things can be broken, so use it with caution and fill issues with the problems found.
  • USE WITH ICESTUDIO >= 8.1 (It will NOT work with icestudio <=8.0)
  • Bumped to version 0.8.0 (Obijuan (@Obijuan))
  • Synthesis of bitstream for ECP5 12K finally solved (Fernando mosquera (@benitoss))
  • Recover the support of iCESugar-nano (Fernando mosquera (@benitoss))
  • Synthesis for ECP5 12K solved (Fernando mosquera (@benitoss))
  • Making distinction between a v0 and v1 board (Thanks to seanybaggins @seanybaggins)
  • Solution for all ECP5 models (thanks to Fernando mosquera (@benitoss))
  • Support for the ECP5-Evaluation-Board (thanks to Fernando mosquera (@benitoss))
  • Fixed call to non-existant function (Thanks to seanybaggins @seanybaggins)
  • Update iCEBreaker-bitsy board support (Thanks to suzuki-naoto @suzuki-naoto)
  • apio lint now depends only on the oss-cad-suite package
  • test: apio verify passed
  • Bug fixed: apio verify error in ice40
  • iverilog is run from the oss-cad-suite package
  • scons upgraded to the latest version: 4.2.0
  • scons is no longer an independent apio package. It is installed as a python package instead
  • scons apio package is now obsolete and not installed with apio install -a
  • Bug fixed: trellis and icebox environment variables fixed
  • ECP5: Bug fixed: Bitstream regenerated when the .lpf is changed
  • Support for the FleaFPGA-Ohm Board added (thanks to Fernando mosquera (@benitoss))
  • lib folder included in the path
  • ice40 package: no longer needed for building
  • Package ice40 marked as obsolete
  • yosys: included in the obsolete package list
  • build: package oss-cad-suite used instead of yosys