Skip to content

Commit

Permalink
Merge branch 'release/0.3.0'
Browse files Browse the repository at this point in the history
  • Loading branch information
Jesus89 committed Jun 4, 2017
2 parents 39a7aba + 7047cba commit e67847f
Show file tree
Hide file tree
Showing 57 changed files with 1,647 additions and 1,183 deletions.
20 changes: 12 additions & 8 deletions README.md
@@ -1,7 +1,7 @@
<img src="./doc/images/icestudio-logo-label.png" align="center">

[![Build Status](https://travis-ci.org/FPGAwars/icestudio.svg?branch=0.3.0-rc)](https://travis-ci.org/FPGAwars/icestudio)
[![Version](https://img.shields.io/badge/version-v0.3.0--rc-orange.svg)](https://github.com/FPGAwars/icestudio/releases)
[![Build Status](https://travis-ci.org/FPGAwars/icestudio.svg?branch=0.3.0)](https://travis-ci.org/FPGAwars/icestudio)
[![Version](https://img.shields.io/badge/version-v0.3.0-orange.svg)](https://github.com/FPGAwars/icestudio/releases)
[![License](http://img.shields.io/:license-gpl-blue.svg)](http://opensource.org/licenses/GPL-2.0)
[![Documentation Status](https://readthedocs.org/projects/icestudio/badge/?version=latest)](http://icestudio.readthedocs.io/en/latest)

Expand Down Expand Up @@ -44,6 +44,8 @@ Supported operating systems:

<img src="./doc/images/main.png" width="700" align="center">

<img src="./doc/images/main-1.png" width="700" align="center">

Check the [Documentation](http://icestudio.readthedocs.io/en/latest) for more information.

## Development
Expand Down Expand Up @@ -100,10 +102,10 @@ npm run dist
|:----------:|:------------------------------------------:|
| English | ![Progress](http://progressed.io/bar/100) |
| Spanish | ![Progress](http://progressed.io/bar/100) |
| Galician | ![Progress](http://progressed.io/bar/95) |
| Basque | ![Progress](http://progressed.io/bar/95) |
| Catalan | ![Progress](http://progressed.io/bar/95) |
| French | ![Progress](http://progressed.io/bar/95) |
| French | ![Progress](http://progressed.io/bar/100) |
| Basque | ![Progress](http://progressed.io/bar/97) |
| Galician | ![Progress](http://progressed.io/bar/93) |
| Catalan | ![Progress](http://progressed.io/bar/93) |

**Contribute!**

Expand Down Expand Up @@ -167,9 +169,11 @@ There is a [Wishlist](https://github.com/FPGAwars/icestudio/wiki/Wishlist:-propo

* v0.3, v0.2: using [JointJS](https://github.com/clientIO/joint) and [AlertifyJS](https://github.com/MohammadYounes/AlertifyJS)
* v0.1: using [AngularJS-Flowchart](https://github.com/codecapers/AngularJS-FlowChart)
* [BQ](https://www.bq.com) sponsored this project from 02-2016 to 02-2017. Thanks

![](https://github.com/FPGAwars/icezum/raw/master/wiki/bq-logo.png)

* [BQ](https://www.bq.com) sponsored this project from 02/2016 to 02/2017. Thanks

<img src="https://github.com/FPGAwars/icezum/raw/master/wiki/bq-logo.png" width="80">

## License

Expand Down
2 changes: 1 addition & 1 deletion app/bower.json
Expand Up @@ -32,7 +32,7 @@
"ace-builds": "^1.2.5",
"select2": "^4.0.3",
"async": "^1.5.2",
"svg-pan-zoom": "^3.2.9",
"svg-pan-zoom": "~3.4.0",
"alertify-js": "^1.8.0",
"jquery-resize": "*",
"angular-gettext": "https://github.com/Jesus89/angular-gettext.git#master"
Expand Down
9 changes: 5 additions & 4 deletions app/package.json
@@ -1,6 +1,6 @@
{
"name": "icestudio",
"version": "0.3.0-rc",
"version": "0.3.0",
"description": "Experimental graphic editor for open FPGAs",
"author": "Jesús Arroyo Torrens <jesus.jkhlg@gmail.com>",
"repository": "https://github.com/FPGAwars/icestudio",
Expand All @@ -18,8 +18,9 @@
"icon": "resources/images/icestudio-logo.png"
},
"apio": {
"min": "0.2.2",
"max": "0.2.3"
"min": "0.2.4",
"max": "0.2.5",
"external": ""
},
"engines": {
"node": ">= 0.10.0"
Expand All @@ -42,7 +43,7 @@
"ssh-exec": "^2.0.0",
"sudo-prompt": "^6.2.0",
"svgo": "~0.7.1",
"tarball-extract": "0.0.3"
"tarball-extract": "~0.0.6"
},
"readme": "../README.md",
"keywords": [
Expand Down
22 changes: 15 additions & 7 deletions app/resources/boards/generator.py
Expand Up @@ -4,9 +4,12 @@
# -- Author Jesús Arroyo (C) 2016-2017
# -- Licence GPLv2

# set_io LED0 95 # output -> { "name": "LED0", "value": "95", "type": "output" }
# set_io --warn-no-port SW1 10 # input -> { "name": "SW1", "value": "10", "type": "input" }
# set_io --warn-no-port D13 144 -> { "name": "D13", "value": "144", "type": "inout" }
# set_io LED0 95 # output
# -> { "name": "LED0", "value": "95", "type": "output" }
# set_io --warn-no-port SW1 10 # input
# -> { "name": "SW1", "value": "10", "type": "input" }
# set_io --warn-no-port D13 144
# -> { "name": "D13", "value": "144", "type": "inout" }

import os
import re
Expand All @@ -18,26 +21,31 @@
# Python 2-3 compat
try:
input = raw_input
except:
except Exception:
pass

# Load parameters
name = input('Insert board name: ') # eg. icoboard
path = os.path.join(os.path.dirname(__file__), name)

# Regex pattern
pattern = 'set_io\s+(--warn-no-port)?\s*(.*?)\s+(.*?)\s+(#+\s+(input|output))?'

# Open file
with open(os.path.join(name, 'pinout.pcf')) as file:
with open(os.path.join(path, 'pinout.pcf')) as file:
data = file.read()

# Build json
pinout = re.findall(pattern, data)
format_pinout = []
for item in pinout:
format_pinout += [{ 'name': item[1], 'value': item[2], 'type': item[4] or 'inout' }]
format_pinout += [{
'name': item[1],
'value': item[2],
'type': item[4] or 'inout'
}]

# Save json file
with open(os.path.join(name, 'pinout.json'), 'w') as outfile:
with open(os.path.join(path, 'pinout.json'), 'w') as outfile:
json.dump(format_pinout, outfile)
print('Done!')
2 changes: 1 addition & 1 deletion app/resources/boards/icestick/pinout.json
@@ -1 +1 @@
[{"type": "output", "name": "D1", "value": "99"}, {"type": "output", "name": "D2", "value": "98"}, {"type": "output", "name": "D3", "value": "97"}, {"type": "output", "name": "D4", "value": "96"}, {"type": "output", "name": "D5", "value": "95"}, {"type": "output", "name": "IrDA_TX", "value": "105"}, {"type": "input", "name": "IrDA_RX", "value": "106"}, {"type": "inout", "name": "SD", "value": "107"}, {"type": "inout", "name": "PMOD1", "value": "78"}, {"type": "inout", "name": "PMOD2", "value": "79"}, {"type": "inout", "name": "PMOD3", "value": "80"}, {"type": "inout", "name": "PMOD4", "value": "81"}, {"type": "inout", "name": "PMOD7", "value": "87"}, {"type": "inout", "name": "PMOD8", "value": "88"}, {"type": "inout", "name": "PMOD9", "value": "90"}, {"type": "inout", "name": "PMOD10", "value": "91"}, {"type": "inout", "name": "TR3", "value": "112"}, {"type": "inout", "name": "TR4", "value": "113"}, {"type": "inout", "name": "TR5", "value": "114"}, {"type": "inout", "name": "TR6", "value": "115"}, {"type": "inout", "name": "TR7", "value": "116"}, {"type": "inout", "name": "TR8", "value": "117"}, {"type": "inout", "name": "TR9", "value": "118"}, {"type": "inout", "name": "TR10", "value": "119"}, {"type": "inout", "name": "BR3", "value": "62"}, {"type": "inout", "name": "BR4", "value": "61"}, {"type": "inout", "name": "BR5", "value": "60"}, {"type": "inout", "name": "BR6", "value": "56"}, {"type": "inout", "name": "BR7", "value": "48"}, {"type": "inout", "name": "BR8", "value": "47"}, {"type": "inout", "name": "BR9", "value": "45"}, {"type": "inout", "name": "BR10", "value": "44"}, {"type": "input", "name": "CLK", "value": "21"}, {"type": "input", "name": "RES", "value": "66"}, {"type": "output", "name": "DONE", "value": "65"}, {"type": "output", "name": "SS", "value": "71"}, {"type": "input", "name": "MISO", "value": "67"}, {"type": "output", "name": "MOSI", "value": "68"}, {"type": "output", "name": "SCK", "value": "70"}, {"type": "output", "name": "DCD", "value": "1"}, {"type": "output", "name": "DSR", "value": "2"}, {"type": "input", "name": "DTR", "value": "3"}, {"type": "output", "name": "CTS", "value": "4"}, {"type": "input", "name": "RTS", "value": "7"}, {"type": "output", "name": "TX", "value": "8"}, {"type": "input", "name": "RX", "value": "9"}]
[{"type": "output", "name": "D1", "value": "99"}, {"type": "output", "name": "D2", "value": "98"}, {"type": "output", "name": "D3", "value": "97"}, {"type": "output", "name": "D4", "value": "96"}, {"type": "output", "name": "D5", "value": "95"}, {"type": "output", "name": "IrDA_TX", "value": "105"}, {"type": "input", "name": "IrDA_RX", "value": "106"}, {"type": "output", "name": "IrDA_SD", "value": "107"}, {"type": "inout", "name": "PMOD1", "value": "78"}, {"type": "inout", "name": "PMOD2", "value": "79"}, {"type": "inout", "name": "PMOD3", "value": "80"}, {"type": "inout", "name": "PMOD4", "value": "81"}, {"type": "inout", "name": "PMOD7", "value": "87"}, {"type": "inout", "name": "PMOD8", "value": "88"}, {"type": "inout", "name": "PMOD9", "value": "90"}, {"type": "inout", "name": "PMOD10", "value": "91"}, {"type": "inout", "name": "TR3", "value": "112"}, {"type": "inout", "name": "TR4", "value": "113"}, {"type": "inout", "name": "TR5", "value": "114"}, {"type": "inout", "name": "TR6", "value": "115"}, {"type": "inout", "name": "TR7", "value": "116"}, {"type": "inout", "name": "TR8", "value": "117"}, {"type": "inout", "name": "TR9", "value": "118"}, {"type": "inout", "name": "TR10", "value": "119"}, {"type": "inout", "name": "BR3", "value": "62"}, {"type": "inout", "name": "BR4", "value": "61"}, {"type": "inout", "name": "BR5", "value": "60"}, {"type": "inout", "name": "BR6", "value": "56"}, {"type": "inout", "name": "BR7", "value": "48"}, {"type": "inout", "name": "BR8", "value": "47"}, {"type": "inout", "name": "BR9", "value": "45"}, {"type": "inout", "name": "BR10", "value": "44"}, {"type": "input", "name": "CLK", "value": "21"}, {"type": "input", "name": "RES", "value": "66"}, {"type": "output", "name": "DONE", "value": "65"}, {"type": "output", "name": "SS", "value": "71"}, {"type": "input", "name": "MISO", "value": "67"}, {"type": "output", "name": "MOSI", "value": "68"}, {"type": "output", "name": "SCK", "value": "70"}, {"type": "output", "name": "DCD", "value": "1"}, {"type": "output", "name": "DSR", "value": "2"}, {"type": "input", "name": "DTR", "value": "3"}, {"type": "output", "name": "CTS", "value": "4"}, {"type": "input", "name": "RTS", "value": "7"}, {"type": "output", "name": "TX", "value": "8"}, {"type": "input", "name": "RX", "value": "9"}]
4 changes: 2 additions & 2 deletions app/resources/boards/icestick/pinout.pcf
Expand Up @@ -30,8 +30,8 @@ set_io --warn-no-port D5 95 # output
set_io --warn-no-port IrDA_TX 105 # output
set_io --warn-no-port IrDA_RX 106 # input

#-- SD = 0, enable IrDA
set_io --warn-no-port SD 107
#-- IrDA_SD = 0, enable IrDA
set_io --warn-no-port IrDA_SD 107 # output

# ------------ PMOD connector -------------------------------------------------
#
Expand Down
140 changes: 140 additions & 0 deletions app/resources/images/icestudio-logo-old.svg
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file modified app/resources/images/icestudio-logo.ico
Binary file not shown.
Binary file modified app/resources/images/icestudio-logo.png
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.

0 comments on commit e67847f

Please sign in to comment.