Skip to content
This repository has been archived by the owner on Jul 31, 2023. It is now read-only.

v0.2.0 fixing linting errors

Latest
Compare
Choose a tag to compare
@nfwvogt nfwvogt released this 11 Mar 17:40
· 15 commits to main since this release
135ef20
  • Fixed lining errors: Unnecessary result mappings
  • Fixed dependency pinning: Using Cargo.lock
  • Fixed typos in arcsine and arctan function resolution in Calculator