Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Liu 262 data component default ports #184

Merged
merged 2 commits into from
Jun 24, 2022

Conversation

james-strauss-uwa
Copy link
Collaborator

Added default input and output ports to all data component doxygen documentation, except for EnvironmentVariables and ParameterSet, which do not have input ports.

All ports were named 'dummy' and are of type 'Complex'

@coveralls
Copy link

Coverage Status

Coverage remained the same at 81.21% when pulling 9f1f50e on liu-262-data-component-default-ports into 1b8c85f on master.

@james-strauss-uwa james-strauss-uwa merged commit 1576295 into master Jun 24, 2022
@james-strauss-uwa james-strauss-uwa deleted the liu-262-data-component-default-ports branch June 24, 2022 04:16
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants