Skip to content

Commit

Permalink
repo layout: move audio into seperate folder
Browse files Browse the repository at this point in the history
  • Loading branch information
KrahJohlito committed Oct 3, 2021
1 parent 706cd5e commit 9beea08
Show file tree
Hide file tree
Showing 7 changed files with 10 additions and 9 deletions.
19 changes: 10 additions & 9 deletions Makefile
Expand Up @@ -81,8 +81,9 @@ GFX_OBJS = usb_icon.o hdd_icon.o eth_icon.o app_icon.o \
Scan_1080i.o Scan_1080i2.o Scan_1080p.o Vmode_multi.o Vmode_ntsc.o Vmode_pal.o \
poeveticanew.o icon_sys.o icon_icn.o

MISC_OBJS = icon_sys_A.o icon_sys_J.o icon_sys_C.o conf_theme_OPL.o \
boot.o cancel.o confirm.o cursor.o message.o transition.o
AUDIO_OBJS = boot.o cancel.o confirm.o cursor.o message.o transition.o

MISC_OBJS = icon_sys_A.o icon_sys_J.o icon_sys_C.o conf_theme_OPL.o

IOP_OBJS = iomanx.o filexio.o ps2fs.o usbd.o bdmevent.o \
bdm.o bdmfs_vfat.o usbmass_bd.o iLinkman.o IEEE1394_bd.o mx4sio_bd.o \
Expand Down Expand Up @@ -194,7 +195,7 @@ EE_CFLAGS += -fsingle-precision-constant -DOPL_VERSION=\"$(OPL_VERSION)\"

# There are a few places where the config key/value are truncated, so disable these warnings
EE_CFLAGS += -Wno-format-truncation -Wno-stringop-truncation
EE_OBJS += $(FRONTEND_OBJS) $(GFX_OBJS) $(MISC_OBJS) $(EECORE_OBJS) $(IOP_OBJS)
EE_OBJS += $(FRONTEND_OBJS) $(GFX_OBJS) $(AUDIO_OBJS) $(MISC_OBJS) $(EECORE_OBJS) $(IOP_OBJS)
EE_OBJS := $(EE_OBJS:%=$(EE_OBJS_DIR)%)

.SILENT:
Expand Down Expand Up @@ -909,22 +910,22 @@ $(EE_ASM_DIR)icon_sys_C.s: misc/icon_C.sys | $(EE_ASM_DIR)
$(EE_ASM_DIR)conf_theme_OPL.s: misc/conf_theme_OPL.cfg | $(EE_ASM_DIR)
$(BIN2S) $< $@ conf_theme_OPL_cfg

$(EE_ASM_DIR)boot.s: misc/boot.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)boot.s: audio/boot.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ boot_adp

$(EE_ASM_DIR)cancel.s: misc/cancel.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)cancel.s: audio/cancel.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ cancel_adp

$(EE_ASM_DIR)confirm.s: misc/confirm.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)confirm.s: audio/confirm.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ confirm_adp

$(EE_ASM_DIR)cursor.s: misc/cursor.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)cursor.s: audio/cursor.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ cursor_adp

$(EE_ASM_DIR)message.s: misc/message.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)message.s: audio/message.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ message_adp

$(EE_ASM_DIR)transition.s: misc/transition.adp | $(EE_ASM_DIR)
$(EE_ASM_DIR)transition.s: audio/transition.adp | $(EE_ASM_DIR)
$(BIN2S) $< $@ transition_adp

$(EE_ASM_DIR)IOPRP_img.s: modules/iopcore/IOPRP.img | $(EE_ASM_DIR)
Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.

0 comments on commit 9beea08

Please sign in to comment.