forked from sb2nov/resume
-
Notifications
You must be signed in to change notification settings - Fork 4
/
master_version_conf.tex
260 lines (223 loc) · 11.8 KB
/
master_version_conf.tex
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
%-------------------------
% Resume in Latex
% Author : Sourabh Bajaj
% License : MIT
%------------------------
\documentclass[letterpaper,11pt]{article}
\usepackage{latexsym}
\usepackage[empty]{fullpage}
\usepackage{titlesec}
\usepackage{marvosym}
\usepackage[usenames,dvipsnames]{color}
\usepackage{verbatim}
\usepackage{enumitem}
\usepackage[hidelinks]{hyperref}
\usepackage{fancyhdr}
\usepackage[english]{babel}
\usepackage{tabularx}
\input{glyphtounicode}
\pagestyle{fancy}
\fancyhf{} % clear all header and footer fields
\fancyfoot{}
\renewcommand{\headrulewidth}{0pt}
\renewcommand{\footrulewidth}{0pt}
% Reduce spacing after section
\titlespacing\section{0pt}{12pt}{10pt}
% Adjust margins
\addtolength{\oddsidemargin}{-0.5in}
\addtolength{\evensidemargin}{-0.5in}
\addtolength{\textwidth}{1in}
\addtolength{\topmargin}{-.5in}
\addtolength{\textheight}{1.0in}
\urlstyle{same}
\raggedbottom
\raggedright
\setlength{\tabcolsep}{0in}
% Sections formatting
\titleformat{\section}{
\vspace{-4pt}\scshape\raggedright\large
}{}{0em}{}[\color{black}\titlerule \vspace{-5pt}]
% Ensure that generate pdf is machine readable/ATS parsable
\pdfgentounicode=1
%-------------------------
% Custom commands
\newcommand{\resumeItem}[2]{
\item\small{
\textbf{#1}{: #2 \vspace{-2pt}}
}
}
\newcommand{\resumeItemOne}[1]{
\item\small{#1}
}
% Just in case someone needs a heading that does not need to be in a list
\newcommand{\resumeHeading}[4]{
\begin{tabular*}{0.99\textwidth}[t]{l@{\extracolsep{\fill}}r}
\textbf{#1} & #2 \\
\small{#3} & \small{#4} \\
\end{tabular*}\vspace{-5pt}
}
\newcommand{\resumeSubheading}[4]{
\vspace{-1pt}\item
\begin{tabular*}{0.97\textwidth}[t]{l@{\extracolsep{\fill}}r}
\textbf{#1} & #2 \\
\textbf{\small#3} & \small{#4} \\
\end{tabular*}\vspace{-10pt}
}
\newcommand{\resumeSubheadingNoTitle}[2]{
\vspace{-1pt}\item
\begin{tabular*}{0.97\textwidth}[t]{l@{\extracolsep{\fill}}r}
\textbf{#1} & #2 %\\
% \textit{\small#3} & \textit{\small #4} \\
\end{tabular*}\vspace{-5pt}
}
\newcommand{\resumeSubSubheading}[2]{
\begin{tabular*}{0.97\textwidth}{l@{\extracolsep{\fill}}r}
\textit{\small#1} & \textit{\small #2} \\
\end{tabular*}\vspace{-5pt}
}
\newcommand{\resumeSubItem}[2]{\resumeItem{#1}{#2}\vspace{-4pt}}
\newcommand{\resumeSubSubItem}[1]{\resumeItemOne{#1}\vspace{-4pt}}
\renewcommand{\labelitemii}{$\circ$}
\newcommand{\resumeSubHeadingListStart}{\begin{itemize}[leftmargin=*]}
\newcommand{\resumeSubHeadingListEnd}{\end{itemize}\vspace{-5pt}}
\newcommand{\resumeItemListStart}{\begin{itemize}}
\newcommand{\resumeItemListEnd}{\end{itemize}\vspace{-10pt}}
%-------------------------------------------
%%%%%% CV STARTS HERE %%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{document}
\textbf{\href{https://www.linkedin.com/in/kunlinhan/}{\LARGE {Kunlin Han}}} \\
{
\href{mailto:{kunlinha@usc.edu}}{{kunlinha@usc.edu}} $|$ Phone: \href{tel:{}}{123-456-7890} $|$ \href{https://www.linkedin.com/in/kunlinhan/}{Linkedin (kunlinhan)} $|$ \href{https://github.com/Karl-Han}{Github (Karl-Han)} $|$ \href{https://www.iwktd.com/}{Detailed Resume}
}\section{SUMMARY}
Proactive learner who changed Master degree from Computer Science to Electrical Engineering at USC (GPA 4.0).\\
Participated proactively in courses and organized study groups. Passionate about building bonds with local community.\\
Eager to join $<company>$ as $<title>$ to contribute to $<company's benefit>$.\\
\section{EDUCATION}
\resumeSubHeadingListStart
\resumeSubheading
{University of Southern California (USC)}{Los Angeles, CA}
{Master of Electrical Engineering (MS Honors Fellow); GPA: 4.0/4.0
}{Dec 2021 - Dec 2023}
\resumeSubheading
{South China Normal University (SCNU)}{Guangzhou, China}
{Bachelor of Network Engineering; GPA: 3.78/4.0; Rank: 1/72
}{Sep 2017 - Jun 2021}
\resumeSubHeadingListEnd
\section{EXPERIENCE}
\resumeSubHeadingListStart
\resumeSubheading
{CS Department, USC}{Los Angeles, CA}
{Graduate Teaching Assistant}{May 2022 - Aug 2022}
\resumeItemListStart
\resumeItemOne{Coordinated with Prof. Saty Raghavachary as teaching assistant (course producer) in CSCI-455X Introduction to Programming Systems Design.}
\resumeItemOne{Presented labs to help students to understand the usage and importance of debugging (GDB) and get an overview of Computer Systems.}
\resumeItemOne{Held weekly office hours to help students with problems in assignments and labs.}
\resumeItemListEnd
\resumeSubheading
{Lab 131, School of Computer Science, SCNU}{Los Angeles, CA}
{Instructor}{Sep 2018 - Jun 2021}
\resumeSubHeadingListEnd
\section{PROJECTS}
\resumeSubHeadingListStart
\resumeSubheadingNoTitle
{Branch Predictor and Prefetcher Implementation and Simulation}{Aug 2023 - Oct 2023}
\resumeItemListStart
\resumeItemOne{Implemented and simulated bimodal predictor and correlated-branch predictor with Pin Tool to compare performance on benchmarks.}
\resumeItemOne{Designed and explored design space by trading off cache hierarchy, execution unit and issue width on OoO CPU on gem5, and achieve 25\% higher throughput with 5\% extra transistor count comparing with the baseline design.}
\resumeItemOne{Studied and simulated prefetchers, including Markov predictor, content-directed prefetcher and access map pattern matching prefetcher.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{Arm Cortex-A7 MPCore Block-Level Implementation}{Aug 2023 - Oct 2023}
\resumeItemListStart
\resumeItemOne{Developed floorplans for Cortex-A7 Core with 32KB L1 DCache and 32KB L1 ICache on 700x840um budget in Innovus using TSMC N28HPC\_1P10M\_5x2y2z.}
\resumeItemOne{Completed Clock Tree Synthesis and optimization with clock tree length around 410ps and clock skew around 40ps.}
\resumeItemOne{Extracted RC with StarRC, passed timing signoff in PrimeTime and applied ECO from PrimeTime to optimize slack of setup and hold.}
\resumeItemOne{Cleared DRC and LVS problems in the merged GDSII with Calibre.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{Performance Analysis of Predictors with Pin Tool}{Aug 2023 - Oct 2023}
\resumeItemListStart
\resumeItemOne{Implemented always-taken Branch Predictor, 2-bit Global Branch Predictor, 32-entry 2-bit Bimodal Branch Predictor, 32-entry Correlated Branch Predictor with 4-bit history.}
\resumeItemOne{Profiled the performance of the above branch predictors.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{Tomasulo Out-of-Order CPU Design}{Jun 2023 - Aug 2023}
\resumeItemListStart
\resumeItemOne{Implemented Issue Unit, 2-stage Dispatch Unit, Re-Order Buffer and FPGA-friendly Copy-Free Check Pointing for FRAT and RRAT.}
\resumeItemOne{Integrated, synthesized and programmed the overall system on Xilinx Artix-7 FPGA board.}
\resumeItemOne{Validated the correctness of design with both simulation and on-chip logic analyzer (Chipscope).}
\resumeItemListEnd
\resumeSubheadingNoTitle
{PCIe Physical Layer Design}{Jun 2023 - Aug 2023}
\resumeItemListStart
\resumeItemOne{Designed Elastic Buffer with Primed Method}
\resumeItemListEnd
\resumeSubheadingNoTitle
{General Purpose Graphical Processing Unit (GPGPU)}{Jun 2023 - Aug 2023}
\resumeItemListStart
\resumeItemOne{Case study on dual-issue GPGPU with Scoreboard, SIMT stack, operand collector, banked register file, memory address coalescing.}
\resumeItemOne{Wrote multiple assembly programs to draw different geometrical shapes for GPGPU supporting SIMT stack.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{512-bit 6T SRAM Array Design}{Jan 2023 - May 2023}
\resumeItemListStart
\resumeItemOne{Designed and drew layout of 1-bit SRAM cell, row/column decoder, sense amplifier, write driver, precharge circuit, latch and flip-flop with Cadence Virtuoso and GPDK 45nm.}
\resumeItemOne{Achieved the Read SNM of 210 mV and Write SNM of 395 mV by proper sizing with VDD=1V.}
\resumeItemOne{Integrated components into 4 8x16-bit SRAM banks to construct a 512-bit SRAM Array with the area of 2208 $nm^2$ in 2.6 Ghz (cycle time=0.4 ns).}
\resumeItemOne{Measured the power consumption with Spectre, in which the average consumption for reading is 21.2 fJ, the average consumption for writing is 342 fJ and leakage is 20 fJ.}
\resumeItemOne{Validated the correctness of all aforementioned components with vector file in Spectre and passed DRC and LVS.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{Extendable Asynchronous SNN Accerlator Design}{Jan 2023 - May 2023}
\resumeItemListStart
\resumeItemOne{Designed extendable asynchronous SNN accelerator in SystemVerilog with SystemVerilogCSP library on a 5x5 filter and 25x25 ifmap with stride=1.}
\resumeItemOne{Implemented configurable fork-join computation module for partial computation.}
\resumeItemOne{Integrated computation modules with two memory modules on a deterministic mesh network.}
\resumeItemOne{Verified correctness of computation module and the accelerator separately with timestep=2 in QuestaSim.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{5-stage Pipeline MIPS Processor Design}{Sep 2022 - Oct 2022}
\resumeItemListStart
\resumeItemOne{Described Data Path Unit and Control Unit for single-cycle CPU with basic MIPS instructions.}
\resumeItemOne{Resolved problems related to Data Dependency and Early Branching.}
\resumeItemOne{Implemented 5-stage pipeline with encoded control signal, Internal Forwarding Register File and Early Branching in Verilog.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{Full-Custom Design Layout of Arbiter System with Multiplier and Divider}{Nov 2022 - Dec 2022}
\resumeItemListStart
\resumeItemOne{Designed, implemented and drew layout of an ALU supporting 5-bit multiplication and 10-bit division and a 2-1 Round-Robin-based Arbiter with the Cadence Virtuoso and GPDK 45nm.}
\resumeItemOne{Planned, routed and simulated all aforementioned components with Cadence Virtuoso and Spectre.}
\resumeItemOne{Cleared DRC and LVS errors with Mentor Graphics Calibre.}
\resumeItemListEnd
\resumeSubheadingNoTitle
{RSA, AES and SHA-3 Implementation in Rust}{Sep 2019 - Dec 2019}
\resumeItemListStart
\resumeItemOne{Theoretically studied and programmatically implemented an array of cryptographic algorithms}
\resumeItemOne{Implemented RSA public-key algorithm, Advanced Encryption Standard (AES) symmetric encryption algorithm, and SHA-3 in Rust.}
\resumeItemListEnd
\resumeSubHeadingListEnd
\section{LEADERSHIP AND INVOLVEMENT}
\resumeSubHeadingListStart
\resumeSubheading
{Hope Center, Reality L.A.}{Los Angeles, CA}
{Volunteer}{Mar 2022 - Aug 2022}
\resumeItemListStart
\resumeItemOne{Sorted, organized and shelved food donations for storage to preserve food and accelerate cooking.}
\resumeItemOne{Managed and distributed cooked food with other volunteers.}
\resumeItemOne{Coordinated and collaborated in cleaning utensils after food distribution.}
\resumeItemListEnd
\resumeSubheading
{Network Club, SCNU}{Guangzhou, China}
{Vice President of Technical Department}{Sep 2017 - Jun 2019}
\resumeItemListStart
\resumeItemOne{Managed existing members and held interviews to recruit members for Technical department.}
\resumeItemOne{Provided technical supports to on-campus IT activities, including development and maintenance of school forum, holding CTF competition.}
\resumeItemOne{Organized members to write articles about popular computer-related topics, such as resolution of DNS, anti-phishing, and published them in social media to enrich students' knowledge.}
\resumeItemListEnd
\resumeSubHeadingListEnd
\section{SKILLS}
\textbf{Programming Languages}: Python, C/C++, Verilog, VHDL, SystemVerilog, Tcl, Perl, Java, Rust, SQL\\
\textbf{Libraries}: Scrapy, BeautifulSoup, Requests, Pyrogram, Django\\
\textbf{EDA Tools}: Virtuoso, Spectre, QuestaSim, Calibre, Intel Quartus, Xilinx Vivado, Innovus, StarRC, PrimeTime\\
\textbf{Protocols}: AXI, PCIe, MOESI \hspace{\fill} \textbf{Tools:} UNIX, Linux, VIM, Git, Docker, Makefile\\
\end{document}