Skip to content

Commit

Permalink
Fix syntax error in RTL moving default parameters to the top of the m…
Browse files Browse the repository at this point in the history
…odule intercon_wb
  • Loading branch information
M0stafaRady committed May 28, 2023
1 parent 7344f67 commit c7f525a
Showing 1 changed file with 16 additions and 16 deletions.
32 changes: 16 additions & 16 deletions verilog/rtl/intercon_wb.v
Original file line number Diff line number Diff line change
Expand Up @@ -18,20 +18,7 @@
module intercon_wb #(
parameter DW = 32, // Data width
parameter AW = 32, // Address width
parameter NI = 6 // Number of interfaces
) (
// Master
input [AW-1:0] wbm_adr_i,
input wbm_stb_i,

output reg [DW-1:0] wbm_dat_o,
output wbm_ack_o,

// Interfaces
input [NI*DW-1:0] wbs_dat_i,
input [NI-1:0] wbs_ack_i,
output [NI-1:0] wbs_stb_o
);
parameter NI = 6, // Number of interfaces
parameter [NI*AW-1:0] ADR_MASK = { // Page & Sub-page bits
{8'hFF, {24{1'b0}} },
{8'hFF, {24{1'b0}} },
Expand All @@ -40,7 +27,7 @@ module intercon_wb #(
{8'hFF, {24{1'b0}} },
{8'hFF, {24{1'b0}} }

};
},
parameter [NI*AW-1:0] IFACE_ADR = {
{ 32'h2800_0000 }, // Flash Configuration Register
{ 32'h2200_0000 }, // System Control
Expand All @@ -49,7 +36,20 @@ module intercon_wb #(
{ 32'h1000_0000 }, // Flash
{ 32'h0000_0000 } // RAM

};
}
) (
// Master
input [AW-1:0] wbm_adr_i,
input wbm_stb_i,

output reg [DW-1:0] wbm_dat_o,
output wbm_ack_o,

// Interfaces
input [NI*DW-1:0] wbs_dat_i,
input [NI-1:0] wbs_ack_i,
output [NI-1:0] wbs_stb_o
);

wire [NI-1: 0] iface_sel;

Expand Down

0 comments on commit c7f525a

Please sign in to comment.