Skip to content

Commit

Permalink
Update setup.cfg
Browse files Browse the repository at this point in the history
  • Loading branch information
MikePopoloski committed May 3, 2023
1 parent 061bbbc commit 4a1d9e6
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion setup.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@ project_urls =
Source Code = https://github.com/MikePopoloski/pyslang
keywords = slang, verilog, systemverilog, parsing, compiler, eda
license = MIT
license_file = LICENSE
license_files = LICENSE
classifiers =
Development Status :: 5 - Production/Stable
Intended Audience :: Developers
Expand Down

0 comments on commit 4a1d9e6

Please sign in to comment.