Skip to content

Commit

Permalink
Fix regression tests
Browse files Browse the repository at this point in the history
  • Loading branch information
Yan Churkin committed Jun 11, 2024
1 parent ae20ff3 commit a83cca8
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion tests/regression/all.sv
Original file line number Diff line number Diff line change
Expand Up @@ -164,7 +164,7 @@ macromodule m3;
else
f;
endproperty
cover property (p2 and p2);
cover property (@(posedge clk) (p2 and p2));
end

prim prim_inst(q, r);
Expand Down

0 comments on commit a83cca8

Please sign in to comment.