Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog parse causes Exeption: Variable has no type #1

Closed
amal-khailtash opened this issue Aug 10, 2016 · 5 comments
Closed

Verilog parse causes Exeption: Variable has no type #1

amal-khailtash opened this issue Aug 10, 2016 · 5 comments

Comments

@amal-khailtash
Copy link

Using the example uart.v in tests directory causes this error:

>>> res = hdlConvertor.parse("uart.v", "verilog")
Traceback (most recent call last):
  File "<stdin>", line 1, in <module>
Exception: Variable has no type

@Nic30
Copy link
Owner

Nic30 commented Aug 10, 2016

Yes, parsing interface types in module body was disabled because there was problem with parser
(which is now fixed).

I will fix it on Friday.

@Nic30
Copy link
Owner

Nic30 commented Aug 14, 2016

@amal-khailtash it is fixed but some of verilog rules are skipped (but now you can parse probably any module declaration).
I do not have much tests for verilog (https://github.com/Nic30/hwtLib/tree/master/hwtLib/tests).
Try if my fix works for you and I can close this issue.

@Nic30
Copy link
Owner

Nic30 commented Aug 14, 2016

Also in ANTLR cache is not working as it is supposed to work.
This makes this parser ~10000x slower, but it is still usable (this will be fixed next week).

@amal-khailtash
Copy link
Author

This is working on the uart.v file. I cannot use it on my own files yet for I do not have a good verilog preprocessor. Thanks for the fix. Hopefully SV and preprocessor support is coming.

@Nic30
Copy link
Owner

Nic30 commented Aug 16, 2016

Great!

About preprocessors, SV etc.:
I would like, it is relatively easy, but I have no time to spare and it is getting worse day by day.
If someone can help me with SV or anything else it would be great.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants