Skip to content

Obijuan/ghdl

 
 

Repository files navigation

GHDL

Join the chat at https://gitter.im/ghdl1/Lobby

This directory contains the sources of GHDL, the VHDL simulator.

GHDL is not an interpreter: it generates machine code from your design, the only way for high speed simulation.

GHDL fully supports IEEE 1076-1987, IEEE 1076-1993, IEE 1076-2002 and partially the 1076-2008 version of VHDL.

Main features are:

GHDL is free software. See the file COPYING for copying permission. The manuals, and some of the runtime libraries, are under different terms; see the individual source files for details.

Doc is available on https://ghdl.readthedocs.org/en/latest/index.html

Building GHDL (Short instructions)

If you aren't on linux, see below for full instructions.

You need GNAT GPL 2014 (or later) for x86 (32 or 64 bits). GNAT is the GNU Ada compiler and GNAT GPL is very easy to install (download anonymously from libre.adacore.com, untar and run the doinstall script). You also need zlib (for Debian or Ubuntu: install zlib1g-dev package).

In the GHDL base directory, configure and build:

$ ./configure --prefix=/usr/local
$ make

At that place, you can already use the 'ghdl_mcode' built in the directory. You can also install GHDL (the executable is installed as 'ghdl'):

$ make install

That's all!

Build GHDL (Long instructions)

See BUILD.txt

About

VHDL 2008/93/87 simulator

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • VHDL 65.3%
  • Ada 31.9%
  • C 2.1%
  • Python 0.3%
  • Makefile 0.2%
  • Shell 0.2%