Skip to content

Obijuan/ghdlsynth-beta

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

34 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

ghdlsynth-beta

VHDL synthesis (based on ghdl)

This is awfully experimental and work in progress!

How to build as a module

Get and install yosys.

Get ghdl from github, build and install build and install libghdlsynth.so:

$ make libghdlsynth.so
$ make install.libghdlsynth.shared

From ghdlsynth-beta:

make GHDL_PREFIX=prefix-used-to-configure-ghdl

This generates ghdl.so, which can be used directly:

$ yosys -m ghdl.so

How to build (not recommended)

Get ghdl from github, build and install build and install libghdlsynth.a:

$ make libghdlsynth.a
$ make install.libghdlsynth

Get yosys.

From ghdlsynth-beta: Patch yosys sources using yosys.diff Copy the ghdl/ directory in yosys/frontends

Configure yosys. In Makefile.conf, add:

ENABLE_GHDL := 1
GHDL_DIR := <ghdl install dir>

Build yosys.

How to use

Example for icestick:

ghdl -a leds.vhdl
ghdl -a spin1.vhdl
yosys -p 'ghdl leds; synth_ice40 -blif leds.blif'
arachne-pnr -d 1k -o leds.asc -p leds.pcf leds.blif
icepack leds.asc leds.bin
iceprog leds.bin

About

VHDL synthesis (based on ghdl)

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

 
 
 

Languages

  • VHDL 50.4%
  • C++ 32.6%
  • Makefile 10.5%
  • Shell 6.5%