Skip to content

Commit

Permalink
yunsuan, Fpu: switch to new Fpu (#2995)
Browse files Browse the repository at this point in the history
  • Loading branch information
lewislzh committed May 21, 2024
1 parent 60311af commit 90ae5a7
Show file tree
Hide file tree
Showing 4 changed files with 4 additions and 7 deletions.
3 changes: 1 addition & 2 deletions src/main/scala/xiangshan/backend/fu/wrapper/FALU.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,7 @@ import xiangshan.backend.fu.FuConfig
import xiangshan.backend.fu.vector.Bundles.VSew
import xiangshan.backend.fu.fpu.FpPipedFuncUnit
import yunsuan.{VfaluType, VfpuType}
import yunsuan.vector.VectorFloatAdder
import yunsuan.fpulite.FloatAdder
import yunsuan.fpu.FloatAdder

class FAlu(cfg: FuConfig)(implicit p: Parameters) extends FpPipedFuncUnit(cfg) {
XSError(io.in.valid && io.in.bits.ctrl.fuOpType === VfpuType.dummy, "falu OpType not supported")
Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/xiangshan/backend/fu/wrapper/FDivSqrt.scala
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,7 @@ import xiangshan.backend.fu.vector.Bundles.VSew
import xiangshan.backend.fu.fpu.FpNonPipedFuncUnit
import xiangshan.backend.rob.RobPtr
import yunsuan.VfpuType
import yunsuan.vector.VectorFloatDivider
import yunsuan.fpulite.FloatDivider
import yunsuan.fpu.FloatDivider

class FDivSqrt(cfg: FuConfig)(implicit p: Parameters) extends FpNonPipedFuncUnit(cfg) {
XSError(io.in.valid && io.in.bits.ctrl.fuOpType === VfpuType.dummy, "fdiv OpType not supported")
Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/xiangshan/backend/fu/wrapper/FMA.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,7 @@ import xiangshan.backend.fu.FuConfig
import xiangshan.backend.fu.vector.Bundles.VSew
import xiangshan.backend.fu.fpu.FpPipedFuncUnit
import yunsuan.{VfmaType, VfpuType}
import yunsuan.vector.VectorFloatFMA
import yunsuan.fpulite.FloatFMA
import yunsuan.fpu.FloatFMA

class FMA(cfg: FuConfig)(implicit p: Parameters) extends FpPipedFuncUnit(cfg) {
XSError(io.in.valid && io.in.bits.ctrl.fuOpType === VfpuType.dummy, "fma OpType not supported")
Expand Down

0 comments on commit 90ae5a7

Please sign in to comment.