Skip to content

Avoid generating functions that are only ever const evaluated with declarative modules #10300

Avoid generating functions that are only ever const evaluated with declarative modules

Avoid generating functions that are only ever const evaluated with declarative modules #10300