Skip to content

Commit

Permalink
Remove sensitivity list for always_latch
Browse files Browse the repository at this point in the history
  • Loading branch information
Christian Bespin authored and Christian Bespin committed Apr 19, 2024
1 parent 19cce52 commit 2d366ce
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion basil/firmware/modules/utils/CG_MOD_neg.v
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ input ck_in,enable;
output ck_out;
reg enl;

always_latch @(ck_in or enable)
always_latch
if (ck_in)
enl = enable;
assign ck_out = ck_in | ~enl;
Expand Down
2 changes: 1 addition & 1 deletion basil/firmware/modules/utils/CG_MOD_pos.v
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ wire ck_inb;
reg enl;

assign ck_inb = ~ck_in;
always_latch @(ck_inb or enable)
always_latch
if (ck_inb)
enl = enable;
assign ck_out = ck_in & enl;
Expand Down

0 comments on commit 2d366ce

Please sign in to comment.