Skip to content

Commit

Permalink
GIT: Merge branch 'v2.4.7'
Browse files Browse the repository at this point in the history
  • Loading branch information
laborleben committed Oct 5, 2017
2 parents 3fef68d + 18b84ff commit 4f28d0a
Show file tree
Hide file tree
Showing 3 changed files with 11 additions and 7 deletions.
4 changes: 2 additions & 2 deletions README.rst
Original file line number Diff line number Diff line change
Expand Up @@ -46,15 +46,15 @@ or install via PyPI into the Python's site-packages folder (for non-developers):
pip install basil_daq==version
where version is a version number (e.g. 2.4.6)
where version is a version number (e.g. 2.4.7)

or install from git, when no PyPI package is available (for non-developers):

.. code-block:: bash
pip install git+https://github.com/SiLab-Bonn/basil.git@branch
where branch is a branch name (e.g. master or v2.4.6).
where branch is a branch name (e.g. master or v2.4.7).

Check `.travis.yml <.travis.yml>`_ for installation/testing hints.

Expand Down
2 changes: 1 addition & 1 deletion VERSION
Original file line number Diff line number Diff line change
@@ -1 +1 @@
2.4.6
2.4.7
12 changes: 8 additions & 4 deletions firmware/modules/tlu/tlu_controller_fsm.v
Original file line number Diff line number Diff line change
Expand Up @@ -294,8 +294,8 @@ begin

IDLE:
begin
if (TRIGGER_FLAG)
TIMESTAMP_DATA <= TIMESTAMP;
if (TRIGGER_FLAG && TRIGGER_THRESHOLD != 0)
TIMESTAMP_DATA <= TIMESTAMP[31:0];
if (TRIGGER_ENABLE == 1'b1
&& TRIGGER == 1'b1
&& (((TRIGGER_MODE == 2'b10 || TRIGGER_MODE == 2'b11) && (counter_trigger_high != 0 && TLU_TRIGGER_HANDSHAKE_ACCEPT_WAIT_CYCLES != 0))
Expand Down Expand Up @@ -349,8 +349,10 @@ begin
FIFO_PREEMPT_REQ <= 1'b1;
TRIGGER_DATA_WRITE <= 1'b0;
// get timestamp closest to the trigger
if (state != next && TRIGGER_THRESHOLD == 0) begin
TIMESTAMP_DATA <= TIMESTAMP[31:0];
end
if (state != next) begin
// TIMESTAMP_DATA <= TIMESTAMP;
TRIGGER_COUNTER_DATA <= TRIGGER_COUNTER;
end
TLU_BUSY <= 1'b1;
Expand All @@ -372,8 +374,10 @@ begin
FIFO_PREEMPT_REQ <= 1'b1;
TRIGGER_DATA_WRITE <= 1'b0;
// get timestamp closest to the trigger
if (state != next && TRIGGER_THRESHOLD == 0) begin
TIMESTAMP_DATA <= TIMESTAMP[31:0];
end
if (state != next) begin
// TIMESTAMP_DATA <= TIMESTAMP;
TRIGGER_COUNTER_DATA <= TRIGGER_COUNTER;
end
TLU_BUSY <= 1'b1;
Expand Down

0 comments on commit 4f28d0a

Please sign in to comment.