Skip to content
View SumaiyaTariqueLabiba's full-sized avatar
🍉
🍉
Block or Report

Block or report SumaiyaTariqueLabiba

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Hi, I’m Labiba!

  • I’m currently learning RTL DV methods and protocols.
  • I’m looking to collaborate on projects and research papers on technological advancements in VLSI Chip design, System Architecture, Verification methods, tools, protocols and more.
  • Contact me: Linkedin - https://www.linkedin.com/in/sumaiya-tarique-labiba

Pinned Loading

  1. VeriRISC-CPU VeriRISC-CPU Public

    This project was developed based on the labs for Cadence certification on SystemVerilog for Design and Verification V21.10

    SystemVerilog 1

  2. SV-Verification SV-Verification Public

    Coverage, Assertions, Randomizations, Mailbox, Semaphores, DPI and OOP: Inheritance, Polymorphism, Virtual methods

    SystemVerilog

  3. SystemVerilog-Basics SystemVerilog-Basics Public

    FIFO, FSM, UART, RAM, ALU, etc.

    SystemVerilog