Skip to content

Latest commit

 

History

History
42 lines (28 loc) · 1.22 KB

arith_div.rst

File metadata and controls

42 lines (28 loc) · 1.22 KB

PoC.arith.div

html

GitHub Links

  • Sourcecode <arith/arith_div.vhdl>
  • Testbench <arith/arith_div_tb.vhdl>

Implementation of a Non-Performing restoring divider with a configurable radix. The multi-cycle division is controlled by 'start' / 'rdy'. A new division is started by asserting 'start'. The result Q = A/D is available when 'rdy' returns to '1'. A division by zero is identified by output Z. The Q and R outputs are undefined in this case.

Entity Declaration:

../../../src/arith/arith_div.vhdl

latex

Source file: arith/arith_div.vhdl <arith/arith_div.vhdl>