Skip to content

Latest commit

 

History

History
41 lines (27 loc) · 1.18 KB

stream_Buffer.rst

File metadata and controls

41 lines (27 loc) · 1.18 KB

PoC.bus.stream.Buffer

html

GitHub Links

  • Sourcecode <bus/stream/stream_Buffer.vhdl>
  • Testbench <bus/stream/stream_Buffer_tb.vhdl>

This module implements a generic buffer (FIFO) for the PoC.Stream </Interfaces/Stream> protocol. It is generic in DATA_BITS and in META_BITS as well as in FIFO depths for data and meta information.

Entity Declaration:

../../../../src/bus/stream/stream_Buffer.vhdl

latex

Source file: bus/stream/stream_Buffer.vhdl <bus/stream/stream_Buffer.vhdl>