Skip to content

Latest commit

 

History

History
40 lines (26 loc) · 1.11 KB

comm_scramble.rst

File metadata and controls

40 lines (26 loc) · 1.11 KB

PoC.comm.scramble

html

GitHub Links

  • Sourcecode <comm/comm_scramble.vhdl>
  • Testbench <comm/comm_scramble_tb.vhdl>

The LFSR computation is unrolled to generate an arbitrary number of mask bits in parallel. The mask are output in little endian. The generated bit sequence is independent from the chosen output width.

Entity Declaration:

../../../src/comm/comm_scramble.vhdl

latex

Source file: comm/comm_scramble.vhdl <comm/comm_scramble.vhdl>