Skip to content

Latest commit

 

History

History
42 lines (28 loc) · 1.24 KB

io_KeyPadScanner.rst

File metadata and controls

42 lines (28 loc) · 1.24 KB

PoC.io.KeyPadScanner

html

GitHub Links

  • Sourcecode <io/io_KeyPadScanner.vhdl>
  • Testbench <io/io_KeyPadScanner_tb.vhdl>

This module drives a one-hot encoded column vector to read back a rows vector. By scanning column-by-column it's possible to extract the current button state of the whole keypad. The scanner uses high-active logic. The keypad size and scan frequency can be configured. The outputed signal matrix is not debounced.

Entity Declaration:

../../../src/io/io_KeyPadScanner.vhdl

latex

Source file: io/io_KeyPadScanner.vhdl <io/io_KeyPadScanner.vhdl>