Skip to content

Latest commit

 

History

History
43 lines (29 loc) · 1.08 KB

xil_ICAP.rst

File metadata and controls

43 lines (29 loc) · 1.08 KB

PoC.xil.ICAP

html

GitHub Links

  • Sourcecode <xil/xil_ICAP.vhdl>
  • Testbench <xil/xil_ICAP_tb.vhdl>

This module wraps Xilinx "Internal Configuration Access Port" (ICAP) primitives in a generic module. Supported devices are: * Spartan-6 * Virtex-4, Virtex-5, Virtex-6 * Series-7 (Artix-7, Kintex-7, Virtex-7, Zynq-7000)

Entity Declaration:

../../../src/xil/xil_ICAP.vhdl

latex

Source file: xil/xil_ICAP.vhdl <xil/xil_ICAP.vhdl>