Skip to content

Commit

Permalink
Updated license header in som vhdl files after merge of #35 that caus…
Browse files Browse the repository at this point in the history
…e license header check to fail
  • Loading branch information
kraigher committed Mar 21, 2015
1 parent 9191748 commit 6fc64c5
Show file tree
Hide file tree
Showing 7 changed files with 26 additions and 36 deletions.
11 changes: 4 additions & 7 deletions vhdl/check/src/check_base.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand Down Expand Up @@ -45,15 +45,15 @@ package body check_base_pkg is
constant msg : in string := "Check failed!";
constant level : in log_level_t := dflt;
constant line_num : in natural := 0;
constant file_name : in string := "") is
constant file_name : in string := "") is
begin
-- pragma translate_off
checker.check(expr, msg, level, line_num, file_name);
-- pragma translate_on
end;

procedure base_get_checker_stat (
variable checker : inout checker_t;
variable checker : inout checker_t;
variable stat : out checker_stat_t) is
begin
-- pragma translate_off
Expand Down Expand Up @@ -135,7 +135,7 @@ package body check_base_pkg is
end;

procedure base_checker_found_errors (
variable checker : inout checker_t;
variable checker : inout checker_t;
variable result : out boolean) is
begin
-- pragma translate_off
Expand All @@ -144,6 +144,3 @@ package body check_base_pkg is
end;

end package body check_base_pkg;



17 changes: 7 additions & 10 deletions vhdl/check/src/check_base93.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand All @@ -31,16 +31,16 @@ package body check_base_pkg is
logger_init(checker.logger, default_src, file_name, display_format, file_format, stop_level, separator, append);
-- pragma translate_on
end base_init;

procedure base_check(
variable checker : inout checker_t;
constant expr : in boolean;
constant msg : in string := "Check failed!";
constant level : in log_level_t := dflt;
constant line_num : in natural := 0;
constant file_name : in string := "") is
constant file_name : in string := "") is
begin
-- pragma translate_off
-- pragma translate_off
checker.stat.n_checks := checker.stat.n_checks + 1;
if (expr = false) then
checker.stat.n_failed := checker.stat.n_failed + 1;
Expand All @@ -54,14 +54,14 @@ package body check_base_pkg is
else
checker.stat.n_passed := checker.stat.n_passed + 1;
end if;
-- pragma translate_on
-- pragma translate_on
end;

procedure base_get_checker_stat (
variable checker : inout checker_t;
variable stat : out checker_stat_t) is
begin
-- pragma translate_off
-- pragma translate_off
stat := checker.stat;
-- pragma translate_on
end;
Expand Down Expand Up @@ -102,7 +102,7 @@ package body check_base_pkg is
get_logger_cfg(checker.logger, cfg);
-- pragma translate_on
end;

procedure base_get_logger_cfg (
variable checker : inout checker_t;
variable cfg : inout logger_cfg_export_t) is
Expand All @@ -122,6 +122,3 @@ package body check_base_pkg is
end;

end package body check_base_pkg;



12 changes: 6 additions & 6 deletions vhdl/check/src/check_base_api.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand All @@ -18,7 +18,7 @@ use work.log_pkg.all;
package check_base_pkg is
procedure base_init (
variable checker : inout checker_t;
constant default_level : in log_level_t := error;
constant default_level : in log_level_t := error;
constant default_src : in string := "";
constant file_name : in string := "error.csv";
constant display_format : in log_format_t := level;
Expand All @@ -33,10 +33,10 @@ package check_base_pkg is
constant msg : in string := "Check failed!";
constant level : in log_level_t := dflt;
constant line_num : in natural := 0;
constant file_name : in string := "");
constant file_name : in string := "");

procedure base_get_checker_stat (
variable checker : inout checker_t;
variable checker : inout checker_t;
variable stat : out checker_stat_t);

procedure base_reset_checker_stat (
Expand All @@ -48,7 +48,7 @@ package check_base_pkg is

procedure base_get_checker_cfg (
variable checker : inout checker_t;
variable cfg : inout checker_cfg_export_t);
variable cfg : inout checker_cfg_export_t);

procedure base_get_logger_cfg (
variable checker : inout checker_t;
Expand All @@ -59,7 +59,7 @@ package check_base_pkg is
variable cfg : inout logger_cfg_export_t);

procedure base_checker_found_errors (
variable checker : inout checker_t;
variable checker : inout checker_t;
variable result : out boolean);

end package;
11 changes: 5 additions & 6 deletions vhdl/check/src/check_special_types200x.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand All @@ -25,12 +25,12 @@ package check_special_types_pkg is
constant stop_level : in log_level_t := failure;
constant separator : in character := ',';
constant append : in boolean := false);

procedure check(expr : boolean;
msg : string;
level : log_level_t := dflt;
line_num : in natural := 0;
file_name : in string := "");
file_name : in string := "");

impure function get_stat
return checker_stat_t;
Expand All @@ -45,7 +45,7 @@ package check_special_types_pkg is

impure function found_errors
return boolean;

end protected checker_t;
end package;

Expand All @@ -68,7 +68,7 @@ package body check_special_types_pkg is
default_log_level := default_level;
logger.init(default_src, file_name, default_display_mode, default_file_mode, stop_level, separator, append);
end init;

procedure check(expr : boolean;
msg : string;
level : log_level_t := dflt;
Expand Down Expand Up @@ -122,4 +122,3 @@ package body check_special_types_pkg is
end;
end protected body checker_t;
end package body check_special_types_pkg;

3 changes: 1 addition & 2 deletions vhdl/check/src/check_special_types93.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand All @@ -26,4 +26,3 @@ end package;

package body check_special_types_pkg is
end package body check_special_types_pkg;

3 changes: 1 addition & 2 deletions vhdl/check/src/check_types.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand Down Expand Up @@ -35,4 +35,3 @@ end package;

package body check_types_pkg is
end package body check_types_pkg;

5 changes: 2 additions & 3 deletions vhdl/logging/src/log_special_types93.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014, Lars Asplund lars.anders.asplund@gmail.com
-- Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

library ieee;
use ieee.std_logic_1164.all;
Expand Down Expand Up @@ -47,6 +47,5 @@ package body log_special_types_pkg is
ret_val := global_sequence_number;
global_sequence_number := global_sequence_number + 1;
return ret_val;
end;
end;
end package body log_special_types_pkg;

0 comments on commit 6fc64c5

Please sign in to comment.