Skip to content

Commit

Permalink
Fixed license header
Browse files Browse the repository at this point in the history
  • Loading branch information
kraigher committed Sep 1, 2015
1 parent f76b4a2 commit e3eae14
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion examples/vhdl/compile_order/print_compile_order.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com
# Copyright (c) 2015, Lars Asplund lars.anders.asplund@gmail.com

"""
Example of how you can extract compilation order using VUnit
Expand Down

0 comments on commit e3eae14

Please sign in to comment.