Skip to content

Commit

Permalink
Python 2 End-Of-Life
Browse files Browse the repository at this point in the history
  • Loading branch information
umarcor committed Nov 17, 2019
1 parent ca6e782 commit fb2d746
Show file tree
Hide file tree
Showing 22 changed files with 4 additions and 52 deletions.
6 changes: 0 additions & 6 deletions .appveyor.yml
Original file line number Diff line number Diff line change
Expand Up @@ -7,8 +7,6 @@ environment:
# For Python versions available on Appveyor, see
# http://www.appveyor.com/docs/installed-software#python

- BUILD_NAME: py27-unit
PYTHON: "C:\\Python27"
- BUILD_NAME: py34-unit
PYTHON: "C:\\Python34"
- BUILD_NAME: py35-unit
Expand All @@ -21,13 +19,9 @@ environment:
- BUILD_NAME: py37-lint
PYTHON: "C:\\Python37"

- BUILD_NAME: py27-docs
PYTHON: "C:\\Python27"
- BUILD_NAME: py37-docs
PYTHON: "C:\\Python37"

- BUILD_NAME: py27-acceptance-ghdl
PYTHON: "C:\\Python27"
- BUILD_NAME: py37-acceptance-ghdl
PYTHON: "C:\\Python37"

Expand Down
15 changes: 3 additions & 12 deletions .travis.yml
Original file line number Diff line number Diff line change
Expand Up @@ -10,18 +10,13 @@ matrix:
include:
- &docker
env:
- BUILD_NAME=py27-acceptance-ghdl
- DOCKER_IMAGE=mcode-2
- BUILD_NAME=py38-acceptance-ghdl
- DOCKER_IMAGE=llvm
services: docker
language: minimal
install: skip
script: docker run --rm -tv $(pwd):/src -w /src vunit/dev:$DOCKER_IMAGE tox -e $BUILD_NAME

- <<: *docker
env:
- BUILD_NAME=py38-acceptance-ghdl
- DOCKER_IMAGE=llvm

- <<: *docker
env:
- BUILD_NAME=py38-vcomponents-ghdl
Expand All @@ -38,18 +33,13 @@ matrix:
python: '3.8'


- env: BUILD_NAME=py27-unit
python: '2.7'
- env: BUILD_NAME=py35-unit
python: '3.5'
- env: BUILD_NAME=py38-unit
dist: xenial
python: '3.8'


- env: BUILD_NAME=py27-docs
python: '2.7'
before_script: git fetch --unshallow --tags
- env: BUILD_NAME=py38-docs
python: '3.8'
before_script: git fetch --unshallow --tags
Expand All @@ -66,6 +56,7 @@ matrix:
repo: VUnit/vunit
branch: master


# Deploy to PyPI whenever the package version has changed
# When a package version has not changed a new upload will not be triggered
- stage: deploy
Expand Down
2 changes: 1 addition & 1 deletion tests/lint/test_license.py
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@
"""
License header sanity check
"""
from __future__ import print_function


import unittest
from warnings import simplefilter, catch_warnings
Expand Down
2 changes: 0 additions & 2 deletions tests/unit/test_test_runner.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Test the test runner
"""

from __future__ import print_function

import unittest
from os.path import join, abspath

Expand Down
1 change: 0 additions & 1 deletion tests/unit/test_ui.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,6 @@
Acceptance test of the VUnit public interface class
"""

from __future__ import print_function
import unittest
from string import Template
import os
Expand Down
2 changes: 0 additions & 2 deletions tools/create_release_notes.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Create monolithic release notes file from several input files
"""

from __future__ import print_function

from os.path import join, dirname, basename, splitext, relpath
from glob import glob
from subprocess import check_output, CalledProcessError
Expand Down
2 changes: 0 additions & 2 deletions tools/docs_utils.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Helper functions to generate examples.rst from docstrings in run.py files
"""

from __future__ import print_function

import sys
import inspect
from os.path import basename, dirname, isdir, isfile, join
Expand Down
2 changes: 0 additions & 2 deletions tools/incisive_vhdl_fixup.py
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,6 @@
Cadence Incisive
"""

from __future__ import print_function

import os
import re

Expand Down
2 changes: 0 additions & 2 deletions tools/release.py
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,6 @@
The release process is described in the Contributing section of the web page
"""

from __future__ import print_function

import argparse
import json
from urllib.request import urlopen # pylint: disable=no-name-in-module, import-error
Expand Down
2 changes: 0 additions & 2 deletions vunit/ostools.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,8 +10,6 @@
"""


from __future__ import print_function

import time
import subprocess
import threading
Expand Down
1 change: 0 additions & 1 deletion vunit/parsing/verilog/tokenizer.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@
Verilog preprocessing
"""

from __future__ import print_function
from vunit.parsing.tokenizer import Tokenizer, Token
from vunit.parsing.verilog.tokens import *

Expand Down
1 change: 0 additions & 1 deletion vunit/persistent_tcl_shell.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,6 @@
A persistent TCL shell to avoid startup overhead in TCL-based simulators
"""

from __future__ import print_function
import threading
import logging
from vunit.ostools import Process
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Simulator interface(s)
"""

from __future__ import print_function

import sys
import os
import subprocess
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/activehdl.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Interface towards Aldec Active HDL
"""

from __future__ import print_function

from os.path import join, dirname, abspath
import os
import re
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/ghdl.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Interface for GHDL simulator
"""

from __future__ import print_function

from os.path import exists, join, abspath
import os
import logging
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/incisive.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Interface for the Cadence Incisive simulator
"""

from __future__ import print_function

from os.path import join, dirname, abspath, relpath
import os
import subprocess
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/modelsim.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Interface towards Mentor Graphics ModelSim
"""

from __future__ import print_function

from os.path import join, dirname, abspath
import os
import logging
Expand Down
2 changes: 0 additions & 2 deletions vunit/sim_if/rivierapro.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Interface towards Aldec Riviera Pro
"""

from __future__ import print_function

from os.path import join, dirname, abspath
import os
import re
Expand Down
2 changes: 0 additions & 2 deletions vunit/test/runner.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,6 @@
Provided functionality to run a suite of test in a robust way
"""

from __future__ import print_function

import os
from os.path import join, exists, abspath, basename, relpath
import traceback
Expand Down
2 changes: 0 additions & 2 deletions vunit/ui/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,8 +10,6 @@
Public VUnit User Interface (UI)
"""

from __future__ import print_function

import csv
import sys
import traceback
Expand Down
1 change: 0 additions & 1 deletion vunit/version_check.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,6 @@
Check that the Python version used is supported by VUnit
"""

from __future__ import print_function
import sys


Expand Down
1 change: 0 additions & 1 deletion vunit/vivado/vivado.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,6 @@
Utilities for integrating with Vivado
"""

from __future__ import print_function
from subprocess import check_call
from os import makedirs
from os.path import abspath, join, dirname, exists, basename
Expand Down

0 comments on commit fb2d746

Please sign in to comment.