Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

regex for testbanch recognition needs to be narrowed down. #263

Closed
daedadu opened this issue Jul 19, 2017 · 1 comment
Closed

regex for testbanch recognition needs to be narrowed down. #263

daedadu opened this issue Jul 19, 2017 · 1 comment

Comments

@daedadu
Copy link

daedadu commented Jul 19, 2017

Hi I get the following message

WARNING - Entity mul_tbl_scale matches testbench name regex (tb_.*)|(.*_tb) but has no generic runner_cfg and will therefore not be run.

If I understand the displayed regexes right, only a leading tb_ and a trailing _tb should lead to the recognition of a testbench file. But as one can easily see the file has _tb in the middle of the name.
Maybe there is a issue in the regex and should be fixed.

@kraigher
Copy link
Collaborator

Yes this was a problem. I am surprised it was not caught before.

nathanaelhuffman pushed a commit to nathanaelhuffman/vunit that referenced this issue Jul 30, 2018
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants