Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix for RUNTIME_0232 and RUNTIME_022 messages in Riviera-PRO #621

Closed
wants to merge 80 commits into from
Closed
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
80 commits
Select commit Hold shift + click to select a range
2bb4d33
Fixed RUNTIME_0232 and RUNTIME_022 messages from being printed in Riv…
OyvindNNL Feb 7, 2020
9c94659
Run the Riviera-PRO 'vsim' command in 'vunit_load' in the global vari…
OyvindNNL Feb 9, 2020
455a92b
Fixed comment for uplevel vsim in vunit_load for Riviera-PRO simulator.
OyvindNNL Feb 10, 2020
4fedefb
docs: update description of ghdl docker images
eine Feb 10, 2020
9ae9772
docs: use a single vci.rst source file
eine Feb 10, 2020
9a3b3e3
docs: rework 'posts' as 'blog'
eine Feb 10, 2020
7bfdf1e
docs: switch from ablog to alabaster (default)
eine Feb 10, 2020
4d70311
Made comment for uplevel vsim in vunit_load for Riviera-PRO simulator…
OyvindNNL Feb 10, 2020
1bc9c37
update some dates
eine Feb 10, 2020
a7f2bbe
add possibility to configure random stalls for axi_stream (#557)
dstadelm Feb 10, 2020
25bc6a5
docs: update references to CI services
eine Feb 11, 2020
a57dee0
rename compile option 'ghdl.flags' to 'ghdl.a_flags' (#624)
eine Feb 14, 2020
aed30f0
json4vhdl: use base16 encodings (#595)
eine Feb 14, 2020
a45f4d4
ci: add GHA workflow 'coverage' (#599)
eine Feb 15, 2020
abd179d
ci: upload 'docs' artifacts
umarcor Dec 7, 2019
7954620
clean tox.ini
umarcor Dec 7, 2019
14e88b5
builtins: ensure that files added through '_add_files' do actually exist
umarcor Feb 19, 2020
142fb5f
external: move VHPIDIRECT implementation and example to separate repo
umarcor Dec 6, 2019
cf06849
docs: add section 'external VHDL API'
umarcor Dec 6, 2019
58ce760
ghdl_interface: refactor
umarcor Apr 21, 2019
2654e27
ghdl_interface: with ghdl_e, save runtime args to JSON file
umarcor Apr 21, 2019
0fab3c8
ci: update GHDL to v0.37
eine Mar 2, 2020
1af5128
use pathlib instead of join, dirname, etc.
eine Feb 12, 2020
af7b89d
ui: add type annotations
eine Feb 13, 2020
bcdabe4
Fix "prefix of array attribute must be an object name"
LukasVik Mar 4, 2020
bb53c03
fix pathlib conversion (#632)
eine Mar 7, 2020
f1c4102
add code coverage support for ghdl (#627)
LudvigVidlid Mar 24, 2020
3124d97
codec: fix "prefix of array attribute must be an object name" (#635)
eine Mar 26, 2020
aad93a5
Release 4.4.0
eine Mar 6, 2020
2bd9b75
Start of next release candidate 4.4.1rc0
eine Mar 6, 2020
55672f3
style: doc/release_notes/4.4.0.rst
eine Mar 26, 2020
fe11736
fix: release trigger condition in workflow 'push'
eine Mar 26, 2020
eb5aa86
fix: make tools/release.py executable
eine Mar 26, 2020
e5d4595
docs: Travis is not used for releases
eine Mar 26, 2020
15669a9
call supports_coverage() rather than returning method object (#638)
LukasVik Mar 31, 2020
d93adf7
fix: use 'str' for params to 'self.check'
eine Apr 6, 2020
843fd12
docs: refs to methods are not found
eine Apr 6, 2020
4492326
update .gitignore (#641)
eine Apr 7, 2020
9bd5246
tools: raise exception if git not available when creating release notes
eine Apr 9, 2020
0b3bf19
docs: use autodoc_default_options instead of (deprecated) autodoc_def…
eine Apr 9, 2020
ea1a5f5
docs: fix duplicated content and index of vunit_cli
eine Apr 9, 2020
10b4daa
docs: add intersphinx mapping to docs.python.org
eine Apr 9, 2020
315d096
revert "docs: refs to methods are not found"
eine Apr 9, 2020
89957a9
Updated check_stable to handle longer time frames. Closes #636.
LarsAsplund Apr 16, 2020
209e27d
update example 'vhdl/array_axis_vcs' (#648)
umarcor May 2, 2020
aab59a9
do not use 'relpath' when printing output file (#661)
davidmedinasigasi Jun 29, 2020
4357094
Resolved ambiguity between VUnit's line_vector type and the new stand…
LarsAsplund Jun 25, 2020
c00f03d
Updated VHDL version option in Riviera-PRO's command line interface t…
Jun 26, 2020
ff997a4
ui: fix not serializable path when exporting JSON (#657)
eine Jul 7, 2020
b34a4f3
docs: update 'Credits' and 'License'
eine Jul 7, 2020
9d9843c
ci: fix images.sh URL from ghdl/docker
eine Aug 3, 2020
cd7dd77
ci: update GHA workflows
eine Jul 27, 2020
1f45cc0
doc: use buildthedocs/sphinx.theme
eine Jan 5, 2020
a732ff9
docs: replace LICENSE.txt with LICENSE.rst
eine Aug 8, 2020
55c36ac
replace README.rst with README.md
eine Aug 8, 2020
e188bb7
docs: move 'Requirements' from 'About' to 'Installing'
eine Aug 8, 2020
97053b7
docs: add captioned toctrees
eine Aug 8, 2020
aac9c64
docs: use admonitions
eine Aug 8, 2020
c418e8a
docs/blog: use admonitions
eine Aug 8, 2020
9ec4f36
tox: add pyproject.toml, use isolated_build
eine Aug 10, 2020
c85003e
tox: merge tox.ini into pyproject.yml
eine Aug 10, 2020
d6975b7
setup: ensure that the source tree is on the sys path (tox-dev/tox#1650)
eine Aug 10, 2020
7c3f930
ci: pin pytest version in tox, to work around actions/virtual-environ…
eine Aug 10, 2020
1d2b07a
docs: move CI out from CLI and update content
umarcor Aug 8, 2020
5d80503
Added blog post on continuous integration
LarsAsplund Aug 12, 2020
744098a
docs: update latest blog post
eine Aug 12, 2020
f0d861e
test/lint: fix function name, is test_mypy
eine Aug 15, 2020
94faae7
ci: reduce 'many_keys' to avoid failure with latest GHDL
eine Aug 19, 2020
245c4ea
ci: revert 7c3f930be75bb34b3f32ebbaee97786801cbadb4
eine Aug 19, 2020
7e3502f
fix riviera pro coverage merge error (#675)
noasic Aug 19, 2020
42100ed
Added null AXI stream master and slave constants.
Aug 28, 2020
710f68d
Fixed lint errors
Aug 28, 2020
00cfd5e
ci: run black 20.8b1
eine Aug 28, 2020
8bd4064
lint: re-raise explicitly using 'from'
eine Aug 28, 2020
e96eed6
lint: use Python 3 style super() without arguments
Aug 28, 2020
2054f26
Fixed RUNTIME_0232 and RUNTIME_022 messages from being printed in Riv…
OyvindNNL Feb 7, 2020
3dfc272
Run the Riviera-PRO 'vsim' command in 'vunit_load' in the global vari…
OyvindNNL Feb 9, 2020
2ac30bf
Fixed comment for uplevel vsim in vunit_load for Riviera-PRO simulator.
OyvindNNL Feb 10, 2020
b503214
Made comment for uplevel vsim in vunit_load for Riviera-PRO simulator…
OyvindNNL Feb 10, 2020
9107c37
Merge branch 'master' of https://github.com/nordicneurolab/vunit
OyvindNNL Sep 14, 2020
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
2 changes: 1 addition & 1 deletion .github/images.sh
Expand Up @@ -10,7 +10,7 @@ docker build \
--target vunit \
-t "vunit/dev:${TAG}" \
- <<-EOF
$(curl -fsSL https://raw.githubusercontent.com/ghdl/docker/master/dockerfiles/run_debian)
$(curl -fsSL https://raw.githubusercontent.com/ghdl/docker/master/run_debian.dockerfile)

FROM $TAG AS vunit
COPY --from=ghdl/pkg:buster-$PKG / /
Expand Down
8 changes: 8 additions & 0 deletions .github/run.sh
@@ -0,0 +1,8 @@
#!/usr/bin/env sh

docker run --rm -t \
-v $(pwd):/src \
-w /src \
-e PYTHONPATH=/src \
"$IMAGE" \
"$@"
44 changes: 44 additions & 0 deletions .github/workflows/coverage.yml
@@ -0,0 +1,44 @@
name: 'coverage'

on:
push:
schedule:
- cron: '0 0 * * 5'

jobs:

coverage:
runs-on: ubuntu-latest
env:
DOCKER_REGISTRY: docker.pkg.github.com
IMAGE: docker.pkg.github.com/vunit/vunit/dev:llvm
steps:

- uses: actions/checkout@v2
with:
submodules: recursive

- uses: actions/setup-python@v2
with:
python-version: 3.7

- name: Docker login
env:
GITHUB_TOKEN: ${{ secrets.GITHUB_TOKEN }}
run: |
echo "$GITHUB_TOKEN" | docker login -u vunit-gha --password-stdin "$DOCKER_REGISTRY"
docker pull $IMAGE
docker logout "$DOCKER_REGISTRY"

- name: Run coverage
run: |
./.github/run.sh tox -e coverage
./.github/run.sh coverage html --directory=htmlcov

- name: Report coverage
run: ./.github/run.sh coverage report -m --skip-covered

- uses: actions/upload-artifact@v2
with:
name: VUnit_coverage
path: htmlcov
21 changes: 16 additions & 5 deletions .github/workflows/docs.yml
Expand Up @@ -11,19 +11,30 @@ jobs:
docs:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v1

- uses: actions/checkout@v2
with:
submodules: recursive
- uses: actions/setup-python@v1
fetch-depth: 0

- uses: actions/setup-python@v2
with:
python-version: 3.8
- name: install dependencies

- name: Install dependencies
run: |
pip install -U pip --progress-bar off
pip install -U virtualenv tox --progress-bar off
- name: build docs

- name: Build docs
run: tox -e py38-docs -- --color
- name: 'publish site to gh-pages'

- uses: Actions/upload-artifact@v2
with:
name: VUnit-site
path: .tox/py38-docs/tmp/docsbuild/

- name: Publish site to gh-pages
if: github.event_name != 'pull_request' && github.repository == 'VUnit/vunit'
env:
GH_DEPKEY: ${{ secrets.VUNIT_GITHUB_IO_DEPLOY_KEY }}
Expand Down
15 changes: 10 additions & 5 deletions .github/workflows/images.yml
Expand Up @@ -25,22 +25,27 @@ jobs:
env:
DOCKER_REGISTRY: docker.pkg.github.com
steps:
- uses: actions/checkout@v1
- name: build image

- uses: actions/checkout@v2

- name: Build image
env:
TAG: ${{ matrix.task.tag }}
PKG: ${{ matrix.task.pkg }}
run: |
./.github/images.sh
- name: docker login

- name: Docker login
run: echo "${{ secrets.GITHUB_TOKEN }}" | docker login -u vunit-gha --password-stdin "$DOCKER_REGISTRY"
- name: docker push

- name: Docker push
if: github.repository == 'VUnit/vunit'
run: |
DIMG="vunit/dev:${{ matrix.task.tag }}"
GHIMG="${DOCKER_REGISTRY}/vunit/$DIMG"
docker tag "$DIMG" "$GHIMG"
docker push "$GHIMG"
- name: docker logout

- name: Docker logout
run: docker logout "$DOCKER_REGISTRY"
if: always()
110 changes: 74 additions & 36 deletions .github/workflows/push.yml
Expand Up @@ -13,21 +13,34 @@ env:

jobs:

#
# Python code format
#

fmt:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v1
- uses: actions/setup-python@v1

- uses: actions/checkout@v2

- uses: actions/setup-python@v2
with:
python-version: 3.8
- name: install dependencies

- name: Install dependencies
run: |
pip install -U pip --progress-bar off
pip install -U virtualenv tox --progress-bar off
- name: run 'black'

- name: Run 'black'
run: tox -e py38-fmt -- --check

#
# Linux linting and unit tests
#

lin:
runs-on: ubuntu-latest
strategy:
fail-fast: false
max-parallel: 2
Expand All @@ -37,21 +50,28 @@ jobs:
36-unit,
38-unit,
]
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v1
- uses: actions/setup-python@v1

- uses: actions/checkout@v2

- uses: actions/setup-python@v2
with:
python-version: 3.8
- name: install dependencies

- name: Install dependencies
run: |
pip install -U pip --progress-bar off
pip install -U virtualenv tox --progress-bar off
- name: run job
run: |
tox -e py${{ matrix.task }} -- --color=yes

- name: Run job
run: tox -e py${{ matrix.task }} -- --color=yes

#
# Docker (Linux) acceptance tests
#

docker:
runs-on: ubuntu-latest
strategy:
fail-fast: false
max-parallel: 2
Expand All @@ -60,24 +80,31 @@ jobs:
{do: 38-acceptance, tag: llvm},
{do: 38-vcomponents, tag: mcode},
]
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v1

- uses: actions/checkout@v2
with:
submodules: recursive
- name: docker login

- name: Docker login
run: echo "${{ secrets.GITHUB_TOKEN }}" | docker login -u vunit-gha --password-stdin "$DOCKER_REGISTRY"
- name: run job
run: |
docker run --rm -tv $(pwd):/src -w /src "$DOCKER_REGISTRY"/vunit/vunit/dev:${{ matrix.task.tag }} tox -e py${{ matrix.task.do }}-ghdl
- name: docker logout

- name: Run job
run: docker run --rm -tv $(pwd):/src -w /src "$DOCKER_REGISTRY"/vunit/vunit/dev:${{ matrix.task.tag }} tox -e py${{ matrix.task.do }}-ghdl

- name: Docker logout
run: docker logout "$DOCKER_REGISTRY"
if: always()

#
# Windows with latest stable GHDL
#

win:
runs-on: windows-latest
strategy:
fail-fast: false
max-parallel: 4
max-parallel: 3
matrix:
task: [
38-acceptance-ghdl,
Expand All @@ -86,49 +113,60 @@ jobs:
36-unit,
38-unit,
]
runs-on: windows-latest
steps:
- uses: actions/checkout@v1
- name: git submodule update
run: git submodule update --init --recursive
if: (endsWith( matrix.task, '-lint' ) || endsWith( matrix.task, '-unit' )) == false
- uses: actions/setup-python@v1

- uses: actions/checkout@v2
with:
submodules: recursive

- uses: actions/setup-python@v2
with:
python-version: 3.8
- name: install dependencies

- name: Install dependencies
run: |
pip install -U pip --progress-bar off
pip install -U virtualenv tox --progress-bar off
- name: install GHDL

- name: Install GHDL
if: endsWith( matrix.task, '-ghdl' )
shell: bash
run: |
curl -fsSL -o ghdl.zip https://github.com/ghdl/ghdl/releases/download/v0.36/ghdl-0.36-mingw32-mcode.zip
curl -fsSL -o ghdl.zip https://github.com/ghdl/ghdl/releases/download/v0.37/ghdl-0.37-mingw32-mcode.zip
7z x ghdl.zip "-o../ghdl" -y
mv ../ghdl/GHDL/0.36-mingw32-mcode/ ../ghdl-v0.36
mv ../ghdl/GHDL/0.37-mingw32-mcode/ ../ghdl-v0.37
rm -rf ../ghdl ghdl.zip
- name: run job

- name: Run job
shell: bash
run: |
export PATH=$PATH:$(pwd)/../ghdl-v0.36/bin
export PATH=$PATH:$(pwd)/../ghdl-v0.37/bin
tox -e py${{ matrix.task }} -- --color=yes

#
# Deploy to PyPI
#

deploy:
runs-on: ubuntu-latest
needs: [ fmt, lin, docker, win ]
if: github.event_name == 'release' && github.event.action == 'created'
if: github.event_name == 'push' && github.event.created == 'true'
steps:
- uses: actions/checkout@v1

- uses: actions/checkout@v2
with:
submodules: recursive
- uses: actions/setup-python@v1

- uses: actions/setup-python@v2
with:
python-version: 3.8
- name: install dependencies

- name: Install dependencies
run: |
pip install -U pip
pip install -U setuptools wheel twine
- name: build and deploy to PyPI

- name: Build and deploy to PyPI
env:
TWINE_USERNAME: __token__
TWINE_PASSWORD: ${{ secrets.VUNIT_HDL_PYPI_DEPLOY_TOKEN }}
Expand Down
19 changes: 11 additions & 8 deletions .gitignore
Expand Up @@ -7,16 +7,19 @@
.devcontainer
.tox
.vscode
docs/_build
docs/examples.rst
docs/release_notes.rst
env/
tests/acceptance/*_out
tests/unit/test_report_output.txt
venv/
vunit/vhdl/check/test/tb_check_equal.vhd
vunit/vhdl/check/test/tb_check_match.vhd
error.csv
log.csv
my_logger.csv
examples/vhdl/array_axis_vcs/src/test/data/out.csv
/build/
/dist/
/docs/_build
/docs/_theme
/docs/examples.rst
/docs/release_notes.rst
/examples/vhdl/array_axis_vcs/src/test/data/out.csv
/tests/acceptance/*_out
/tests/unit/test_report_output.txt
/vunit/vhdl/check/test/tb_check_equal.vhd
/vunit/vhdl/check/test/tb_check_match.vhd
15 changes: 15 additions & 0 deletions LICENSE.rst
@@ -0,0 +1,15 @@
**VUnit**, except for the projects below, is released under the terms of
`Mozilla Public License, v. 2.0`_. |copy| 2014-2020 Lars Asplund, lars.anders.asplund@gmail.com.

The following libraries are `redistributed`_ with VUnit for your convenience:

* **OSVVM** (``vunit/vhdl/osvvm``): these files are licensed under the terms of `Apache License, v 2.0`_, |copy| 2010 - 2020 by `SynthWorks Design Inc`_. All rights reserved.

* **JSON-for-VHDL** (``vunit/vhdl/JSON-for-VHDL``): these files are licensed under the terms of `Apache License, v 2.0`_, |copy| 2015 - 2020 Patrick Lehmann.

.. |copy| unicode:: U+000A9 .. COPYRIGHT SIGN
.. _redistributed: https://github.com/VUnit/vunit/blob/master/.gitmodules
.. _Mozilla Public License, v. 2.0: http://mozilla.org/MPL/2.0/
.. _ARTISTIC License: http://www.perlfoundation.org/artistic_license_2_0
.. _Apache License, v 2.0: http://www.apache.org/licenses/LICENSE-2.0
.. _SynthWorks Design Inc: http://www.synthworks.com
15 changes: 0 additions & 15 deletions LICENSE.txt

This file was deleted.