Skip to content

This project is made using verilog on Xilinx. This will help in changing the pulse width of the output wave by using two signals that are increase duty cycle & decrease duty cycle. This repository contains the verilog module code & also the test bench code.

Notifications You must be signed in to change notification settings

Visruat/PWM-generator-using-verilog

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

7 Commits
 
 
 
 

Repository files navigation

PWM-generator-using-verilog

This project is made using verilog on Xilinx. This will help in changing the pulse width of the output wave by using two signals that are increase duty cycle & decrease duty cycle.

Just unzip the PWMgenerator folder & open PWMgenerator.xise in xilinx software. Then run the test bench.

This project is taken from: https://www.fpga4student.com/2017/08/verilog-code-for-pwm-generator.html

About

This project is made using verilog on Xilinx. This will help in changing the pulse width of the output wave by using two signals that are increase duty cycle & decrease duty cycle. This repository contains the verilog module code & also the test bench code.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

 
 
 

Languages

  • C 62.7%
  • HTML 32.6%
  • Verilog 4.3%
  • Batchfile 0.4%