Skip to content
This repository has been archived by the owner on Aug 12, 2018. It is now read-only.

Commit

Permalink
Update Scintilla to v3.5.6.
Browse files Browse the repository at this point in the history
  • Loading branch information
XhmikosR committed May 26, 2015
1 parent 3194c49 commit aaced3e
Show file tree
Hide file tree
Showing 16 changed files with 197 additions and 68 deletions.
4 changes: 2 additions & 2 deletions scintilla/doc/ScintillaDoc.html
Original file line number Diff line number Diff line change
Expand Up @@ -587,14 +587,14 @@ <h2 id="TextRetrievalAndModification">Text retrieval and modification</h2>
};
</pre>

<h3 id="EncodedAccess">Specific to GTK+ and Cocoa only: Access to encoded text</h3>
<h3 id="EncodedAccess">Specific to GTK+, Cocoa and Windows only: Access to encoded text</h3>

<p><b id="SCI_TARGETASUTF8">SCI_TARGETASUTF8(&lt;unused&gt;, char *s)</b><br />
This method retrieves the value of the target encoded as UTF-8 which is the default
encoding of GTK+ so is useful for retrieving text for use in other parts of the user interface,
such as find and replace dialogs. The length of the encoded text in bytes is returned.
Cocoa uses UTF-16 which is easily converted from UTF-8 so this method can be used to perform the
more complex work of transcoding from the various of encodings supported.
more complex work of transcoding from the various encodings supported.
</p>

<p><b id="SCI_ENCODEDFROMUTF8">SCI_ENCODEDFROMUTF8(const char *utf8, char *encoded)</b><br />
Expand Down
10 changes: 5 additions & 5 deletions scintilla/doc/ScintillaDownload.html
Original file line number Diff line number Diff line change
Expand Up @@ -25,9 +25,9 @@
<table bgcolor="#CCCCCC" width="100%" cellspacing="0" cellpadding="8" border="0">
<tr>
<td>
<font size="4"> <a href="http://prdownloads.sourceforge.net/scintilla/scintilla355.zip?download">
<font size="4"> <a href="http://prdownloads.sourceforge.net/scintilla/scintilla356.zip?download">
Windows</a>&nbsp;&nbsp;
<a href="http://prdownloads.sourceforge.net/scintilla/scintilla355.tgz?download">
<a href="http://prdownloads.sourceforge.net/scintilla/scintilla356.tgz?download">
GTK+/Linux</a>&nbsp;&nbsp;
</font>
</td>
Expand All @@ -41,16 +41,16 @@ <h2>
containing very few restrictions.
</p>
<h3>
Release 3.5.5
Release 3.5.6
</h3>
<h4>
Source Code
</h4>
The source code package contains all of the source code for Scintilla but no binary
executable code and is available in
<ul>
<li><a href="http://prdownloads.sourceforge.net/scintilla/scintilla355.zip?download">zip format</a> (1450K) commonly used on Windows</li>
<li><a href="http://prdownloads.sourceforge.net/scintilla/scintilla355.tgz?download">tgz format</a> (1300K) commonly used on Linux and compatible operating systems</li>
<li><a href="http://prdownloads.sourceforge.net/scintilla/scintilla356.zip?download">zip format</a> (1450K) commonly used on Windows</li>
<li><a href="http://prdownloads.sourceforge.net/scintilla/scintilla356.tgz?download">tgz format</a> (1300K) commonly used on Linux and compatible operating systems</li>
</ul>
Instructions for building on both Windows and Linux are included in the readme file.
<h4>
Expand Down
70 changes: 70 additions & 0 deletions scintilla/doc/ScintillaHistory.html
Original file line number Diff line number Diff line change
Expand Up @@ -469,6 +469,9 @@ <h3>
<td>Mika Attila</td>
<td>JoMazM</td>
<td>Markus Moser</td>
<td>Stefan Küng</td>
</tr><tr>
<td>Jiří Techet</td>
</tr>
</table>
<p>
Expand All @@ -480,6 +483,73 @@ <h3>
Icons</a> Copyright(C) 1998 by Dean S. Jones<br />
</li>
</ul>
<h3>
<a href="http://prdownloads.sourceforge.net/scintilla/scite356.zip?download">Release 3.5.6</a>
</h3>
<ul>
<li>
Released 26 May 2015.
</li>
<li>
On Qt, use fractional positioning calls and avoid rounding to ensure consistency.
</li>
<li>
SCI_TARGETASUTF8 and SCI_ENCODEDFROMUTF8 implemented on
Win32 as well as GTK+ and Cocoa.
</li>
<li>
C++ lexer fixes empty backquoted string.
<a href="http://sourceforge.net/p/scintilla/bugs/1711/">Bug #1711</a>.
</li>
<li>
C++ lexer fixes #undef directive.
<a href="http://sourceforge.net/p/scintilla/bugs/1719/">Bug #1719</a>.
</li>
<li>
Fortran folder fixes handling of "selecttype" and "selectcase".
<a href="http://sourceforge.net/p/scintilla/bugs/1724/">Bug #1724</a>.
</li>
<li>
Verilog folder folds interface definitions.
</li>
<li>
VHDL folder folds units declarations and fixes a case insensitivity bug with not treating "IS" the same as "is".
</li>
<li>
Fix bug when drawing text margins in buffered mode which would use default
encoding instead of chosen encoding.
<a href="http://sourceforge.net/p/scintilla/bugs/1703/">Bug #1703</a>.
</li>
<li>
Fix bug with Korean Hanja conversions in DBCS encoding on Windows.
</li>
<li>
Fix for reading a UTF-16 file in SciTE where a non-BMP character is split over a read buffer boundary.
<a href="http://sourceforge.net/p/scintilla/bugs/1710/">Bug #1710</a>.
</li>
<li>
Fix bug on GTK+ 2.x for Windows where there was an ABI difference between
compiler version.
<a href="http://sourceforge.net/p/scintilla/bugs/1726/">Bug #1726</a>.
</li>
<li>
Fix undo bug on Cocoa that could lose data..
</li>
<li>
Fix link error on Windows when SCI_NAMESPACE used.
</li>
<li>
Fix exporting from SciTE when using Scintillua for lexing.
</li>
<li>
SciTE does not report twice that a search string can not be found when "Replace" pressed.
<a href="http://sourceforge.net/p/scintilla/bugs/1716/">Bug #1716</a>.
</li>
<li>
SciTE on GTK+ 3.x disables arrow in search combo when no entries.
<a href="http://sourceforge.net/p/scintilla/bugs/1717/">Bug #1717</a>.
</li>
</ul>
<h3>
<a href="http://prdownloads.sourceforge.net/scintilla/scite355.zip?download">Release 3.5.5</a>
</h3>
Expand Down
7 changes: 4 additions & 3 deletions scintilla/doc/index.html
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@
<meta name="keywords" content="Scintilla, SciTE, Editing Component, Text Editor" />
<meta name="Description"
content="www.scintilla.org is the home of the Scintilla editing component and SciTE text editor application." />
<meta name="Date.Modified" content="20150417" />
<meta name="Date.Modified" content="20150526" />
<style type="text/css">
#versionlist {
margin: 0;
Expand Down Expand Up @@ -55,8 +55,8 @@
GTK+, and OS X</font>
</td>
<td width="40%" align="right">
<font color="#FFCC99" size="3"> Release version 3.5.5<br />
Site last modified April 17 2015</font>
<font color="#FFCC99" size="3"> Release version 3.5.6<br />
Site last modified May 26 2015</font>
</td>
<td width="20%">
&nbsp;
Expand All @@ -71,6 +71,7 @@
</tr>
</table>
<ul id="versionlist">
<li>Version 3.5.6 fixes a bug with undo on Cocoa that could lose data.</li>
<li>Version 3.5.5 improves IME on Qt and fixes minor bugs.</li>
<li>Version 3.5.4 improves indicators to be able to change appearance on mouse-over and to use a wide variety of colours together.</li>
<li>Version 3.5.3 removes support for Windows 95, 98, and ME.</li>
Expand Down
6 changes: 2 additions & 4 deletions scintilla/lexers/LexCPP.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -1092,7 +1092,6 @@ void SCI_METHOD LexerCPP::Lex(unsigned int startPos, int length, int initStyle,
} else if (options.backQuotedStrings && sc.Match('`')) {
sc.SetState(SCE_C_STRINGRAW|activitySet);
rawStringTerminator = "`";
sc.Forward();
} else if (IsADigit(sc.ch) || (sc.ch == '.' && IsADigit(sc.chNext))) {
if (lastWordWasUUID) {
sc.SetState(SCE_C_UUID|activitySet);
Expand Down Expand Up @@ -1246,11 +1245,10 @@ void SCI_METHOD LexerCPP::Lex(unsigned int startPos, int length, int initStyle,
}
} else if (sc.Match("undef")) {
if (options.updatePreprocessor && !preproc.IsInactive()) {
std::string restOfLine = GetRestOfLine(styler, sc.currentPos + 5, true);
const std::string restOfLine = GetRestOfLine(styler, sc.currentPos + 5, false);
std::vector<std::string> tokens = Tokenize(restOfLine);
std::string key;
if (tokens.size() >= 1) {
key = tokens[0];
const std::string key = tokens[0];
preprocessorDefinitions.erase(key);
ppDefineHistory.push_back(PPDefinition(lineCurrent, key, "", true));
definitionsChanged = true;
Expand Down
1 change: 1 addition & 0 deletions scintilla/lexers/LexFortran.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -260,6 +260,7 @@ static int classifyFoldPointFortran(const char* s, const char* prevWord, const c
lev = 0;
} else if (strcmp(s, "associate") == 0 || strcmp(s, "block") == 0
|| strcmp(s, "blockdata") == 0 || strcmp(s, "select") == 0
|| strcmp(s, "selecttype") == 0 || strcmp(s, "selectcase") == 0
|| strcmp(s, "do") == 0 || strcmp(s, "enum") ==0
|| strcmp(s, "function") == 0 || strcmp(s, "interface") == 0
|| strcmp(s, "module") == 0 || strcmp(s, "program") == 0
Expand Down
7 changes: 4 additions & 3 deletions scintilla/lexers/LexVHDL.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -216,7 +216,7 @@ static void FoldNoBoxVHDLDoc(
// don't check if the style for the keywords that I use to adjust the levels.
char words[] =
"architecture begin block case component else elsif end entity generate loop package process record then "
"procedure function when";
"procedure function when units";
WordList keywords;
keywords.Set(words);

Expand Down Expand Up @@ -382,7 +382,8 @@ static void FoldNoBoxVHDLDoc(
strcmp(s, "package") ==0 ||
strcmp(s, "process") == 0 ||
strcmp(s, "record") == 0 ||
strcmp(s, "then") == 0)
strcmp(s, "then") == 0 ||
strcmp(s, "units") == 0)
{
if (strcmp(prevWord, "end") != 0)
{
Expand Down Expand Up @@ -437,7 +438,7 @@ static void FoldNoBoxVHDLDoc(
(!IsCommentStyle(styleAtPos)) &&
(styleAtPos != SCE_VHDL_STRING) &&
!iswordchar(styler.SafeGetCharAt(pos-1)) &&
styler.Match(pos, "is") &&
(chAtPos|' ')=='i' && (styler.SafeGetCharAt(pos+1)|' ')=='s' &&
!iswordchar(styler.SafeGetCharAt(pos+2)))
{
if (levelMinCurrentElse > levelNext) {
Expand Down
4 changes: 3 additions & 1 deletion scintilla/lexers/LexVerilog.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -928,9 +928,10 @@ void SCI_METHOD LexerVerilog::Fold(unsigned int startPos, int length, int initSt
if (styler.Match(j, "case") ||
styler.Match(j, "casex") ||
styler.Match(j, "casez") ||
styler.Match(j, "covergroup") ||
styler.Match(j, "function") ||
styler.Match(j, "generate") ||
styler.Match(j, "covergroup") ||
styler.Match(j, "interface") ||
styler.Match(j, "package") ||
styler.Match(j, "primitive") ||
styler.Match(j, "program") ||
Expand Down Expand Up @@ -962,6 +963,7 @@ void SCI_METHOD LexerVerilog::Fold(unsigned int startPos, int length, int initSt
styler.Match(j, "endfunction") ||
styler.Match(j, "endgenerate") ||
styler.Match(j, "endgroup") ||
styler.Match(j, "endinterface") ||
styler.Match(j, "endpackage") ||
styler.Match(j, "endprimitive") ||
styler.Match(j, "endprogram") ||
Expand Down
2 changes: 2 additions & 0 deletions scintilla/src/Document.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -216,6 +216,8 @@ void Document::SetSavePoint() {
}

void Document::TentativeUndo() {
if (!TentativeActive())
return;
CheckReadOnly();
if (enteredModification == 0) {
enteredModification++;
Expand Down
2 changes: 1 addition & 1 deletion scintilla/src/EditModel.h
Original file line number Diff line number Diff line change
Expand Up @@ -25,7 +25,7 @@ class Caret {

class EditModel {
// Private so EditModel objects can not be copied
EditModel(const EditModel &);
explicit EditModel(const EditModel &);
EditModel &operator=(const EditModel &);

public:
Expand Down
2 changes: 1 addition & 1 deletion scintilla/src/Editor.h
Original file line number Diff line number Diff line change
Expand Up @@ -153,7 +153,7 @@ struct WrapPending {
*/
class Editor : public EditModel, public DocWatcher {
// Private so Editor objects can not be copied
Editor(const Editor &);
explicit Editor(const Editor &);
Editor &operator=(const Editor &);

protected: // ScintillaBase subclass needs access to much of Editor
Expand Down
2 changes: 1 addition & 1 deletion scintilla/src/ScintillaBase.h
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ class LexState;
*/
class ScintillaBase : public Editor {
// Private so ScintillaBase objects can not be copied
ScintillaBase(const ScintillaBase &);
explicit ScintillaBase(const ScintillaBase &);
ScintillaBase &operator=(const ScintillaBase &);

protected:
Expand Down
2 changes: 1 addition & 1 deletion scintilla/version.txt
Original file line number Diff line number Diff line change
@@ -1 +1 @@
355
356
5 changes: 4 additions & 1 deletion scintilla/win32/HanjaDic.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@
#include "HanjaDic.h"

#ifdef SCI_NAMESPACE
using namespace Scintilla;
namespace Scintilla {
#endif

namespace HanjaDict {
Expand Down Expand Up @@ -124,3 +124,6 @@ int GetHangulOfHanja(wchar_t *inout) {
}

}
#ifdef SCI_NAMESPACE
}
#endif
9 changes: 7 additions & 2 deletions scintilla/win32/PlatWin.cxx
Original file line number Diff line number Diff line change
Expand Up @@ -634,11 +634,14 @@ void SurfaceGDI::Init(SurfaceID sid, WindowID) {

void SurfaceGDI::InitPixMap(int width, int height, Surface *surface_, WindowID) {
Release();
hdc = ::CreateCompatibleDC(static_cast<SurfaceGDI *>(surface_)->hdc);
SurfaceGDI *psurfOther = static_cast<SurfaceGDI *>(surface_);
hdc = ::CreateCompatibleDC(psurfOther->hdc);
hdcOwned = true;
bitmap = ::CreateCompatibleBitmap(static_cast<SurfaceGDI *>(surface_)->hdc, width, height);
bitmap = ::CreateCompatibleBitmap(psurfOther->hdc, width, height);
bitmapOld = static_cast<HBITMAP>(::SelectObject(hdc, bitmap));
::SetTextAlign(reinterpret_cast<HDC>(hdc), TA_BASELINE);
SetUnicodeMode(psurfOther->unicodeMode);
SetDBCSMode(psurfOther->codePage);
}

void SurfaceGDI::PenColour(ColourDesired fore) {
Expand Down Expand Up @@ -1287,6 +1290,8 @@ void SurfaceD2D::InitPixMap(int width, int height, Surface *surface_, WindowID)
pRenderTarget->BeginDraw();
ownRenderTarget = true;
}
SetUnicodeMode(psurfOther->unicodeMode);
SetDBCSMode(psurfOther->codePage);
}

void SurfaceD2D::PenColour(ColourDesired fore) {
Expand Down
Loading

0 comments on commit aaced3e

Please sign in to comment.